-- FAMILY: IGLOO2 -- DEVICE: M2GL150T -- PACKAGE: 1152 FC -- DESIGNER VERSION: 2021.2.0.11 -- Include all package pins in the BSDL files including: -- (SARno37877) -- - For ProAsic and ProAsicPlus -- VPP, VPN, AVDD, AGND and NC (No connects) -- - For ProAsic3/E -- NC (No connects) -- This BSDL file reflects the pre-programming JTAG -- behavior. To reflect the post-programming JTAG -- behavior, edit this file as described below: -- If the I/O is unused or configured as an output, -- the input boundary scan cell becomes internal only. -- The input buffer is turned off, and you can not -- transfer data from the I/O pad into the input scan -- cell. For example: -- IO(1) is an output, the BSDL entry would be modified -- from: -- " 0 (BC_1, IO(1), input, X), "& -- " 1 (BC_1, IO(1), output3, X, 2, 0, Z), "& -- " 2 (BC_1, *, control, 0), "& -- to: -- " 0 (BC_1, *, internal, X), "& -- " 1 (BC_1, IO(1), output3, X, 2, 0, Z), "& -- " 2 (BC_1, *, control, 0), "& -- No modification is necessary when the I/O is -- configured as an input. entity M2GL150Tfc1152 is generic (PHYSICAL_PIN_MAP : string := "fc1152"); port( DEVRST_N :linkage bit; FDDR_IMP_CALIB:linkage bit; IO_A2 :inout bit; IO_A3 :inout bit; IO_A5 :inout bit; IO_A6 :inout bit; IO_A8 :inout bit; IO_B2 :inout bit; IO_B3 :inout bit; IO_B4 :inout bit; IO_B5 :inout bit; IO_B6 :inout bit; IO_B8 :inout bit; IO_C1 :inout bit; IO_C2 :inout bit; IO_C4 :inout bit; IO_C5 :inout bit; IO_C6 :inout bit; IO_C7 :inout bit; IO_C8 :inout bit; IO_C9 :inout bit; IO_D1 :inout bit; IO_D2 :inout bit; IO_D3 :inout bit; IO_D4 :inout bit; IO_D5 :inout bit; IO_D7 :inout bit; IO_D9 :inout bit; IO_E1 :inout bit; IO_E2 :inout bit; IO_E3 :inout bit; IO_E5 :inout bit; IO_E6 :inout bit; IO_E7 :inout bit; IO_E9 :inout bit; IO_F2 :inout bit; IO_F3 :inout bit; IO_F4 :inout bit; IO_F5 :inout bit; IO_F6 :inout bit; IO_F7 :inout bit; IO_F8 :inout bit; IO_F9 :inout bit; IO_G1 :inout bit; IO_G2 :inout bit; IO_G3 :inout bit; IO_G5 :inout bit; IO_G7 :inout bit; IO_G8 :inout bit; IO_H1 :inout bit; IO_H3 :inout bit; IO_H4 :inout bit; IO_H5 :inout bit; IO_H6 :inout bit; IO_H7 :inout bit; IO_H8 :inout bit; IO_J1 :inout bit; IO_J2 :inout bit; IO_J3 :inout bit; IO_J4 :inout bit; IO_J6 :inout bit; IO_J7 :inout bit; IO_J8 :inout bit; IO_J9 :inout bit; IO_K1 :inout bit; IO_K2 :inout bit; IO_K4 :inout bit; IO_K5 :inout bit; IO_K6 :inout bit; IO_K7 :inout bit; IO_K9 :inout bit; IO_L2 :inout bit; IO_L3 :inout bit; IO_L4 :inout bit; IO_L5 :inout bit; IO_L7 :inout bit; IO_L8 :inout bit; IO_L9 :inout bit; IO_M1 :inout bit; IO_M2 :inout bit; IO_M3 :inout bit; IO_M5 :inout bit; IO_M6 :inout bit; IO_M7 :inout bit; IO_M8 :inout bit; IO_N1 :inout bit; IO_N3 :inout bit; IO_N4 :inout bit; IO_N5 :inout bit; IO_N6 :inout bit; IO_N8 :inout bit; IO_N9 :inout bit; IO_P1 :inout bit; IO_P2 :inout bit; IO_P3 :inout bit; IO_P4 :inout bit; IO_P6 :inout bit; IO_P7 :inout bit; IO_P8 :inout bit; IO_P9 :inout bit; IO_R1 :inout bit; IO_R2 :inout bit; IO_R4 :inout bit; IO_R5 :inout bit; IO_R6 :inout bit; IO_R7 :inout bit; IO_R9 :inout bit; IO_T2 :inout bit; IO_T3 :inout bit; IO_T4 :inout bit; IO_T5 :inout bit; IO_T7 :inout bit; IO_T8 :inout bit; IO_T9 :inout bit; IO_U1 :inout bit; IO_U2 :inout bit; IO_U3 :inout bit; IO_U5 :inout bit; IO_U6 :inout bit; IO_U7 :inout bit; IO_U8 :inout bit; IO_V1 :inout bit; IO_V3 :inout bit; IO_V4 :inout bit; IO_V5 :inout bit; IO_V6 :inout bit; IO_V8 :inout bit; IO_V9 :inout bit; IO_W1 :inout bit; IO_W2 :inout bit; IO_W3 :inout bit; IO_W4 :inout bit; IO_W6 :inout bit; IO_W7 :inout bit; IO_W8 :inout bit; IO_W9 :inout bit; IO_Y1 :inout bit; IO_Y2 :inout bit; IO_Y4 :inout bit; IO_Y5 :inout bit; IO_Y6 :inout bit; IO_Y7 :inout bit; IO_Y9 :inout bit; IO_A10 :inout bit; IO_A12 :inout bit; IO_A14 :inout bit; IO_A16 :inout bit; IO_A18 :inout bit; IO_A19 :inout bit; IO_A21 :inout bit; IO_A23 :inout bit; IO_A25 :inout bit; IO_A27 :inout bit; IO_A29 :inout bit; IO_A31 :inout bit; IO_A33 :inout bit; IO_AA2 :inout bit; IO_AA3 :inout bit; IO_AA4 :inout bit; IO_AA5 :inout bit; IO_AA7 :inout bit; IO_AA8 :inout bit; IO_AA9 :inout bit; IO_AB1 :inout bit; IO_AB2 :inout bit; IO_AB3 :inout bit; IO_AB5 :inout bit; IO_AB6 :inout bit; IO_AB7 :inout bit; IO_AB8 :inout bit; IO_AC1 :inout bit; IO_AC3 :inout bit; IO_AC4 :inout bit; IO_AC5 :inout bit; IO_AC6 :in bit; IO_AC8 :inout bit; IO_AC9 :inout bit; IO_AD1 :inout bit; IO_AD2 :inout bit; IO_AD3 :inout bit; IO_AD4 :inout bit; IO_AD7 :inout bit; IO_AE4 :inout bit; IO_AE6 :inout bit; IO_AE7 :inout bit; IO_AF5 :inout bit; IO_AF7 :inout bit; IO_AG3 :inout bit; IO_AG4 :inout bit; IO_AG5 :inout bit; IO_AG6 :inout bit; IO_AG7 :inout bit; IO_AH3 :inout bit; IO_AH5 :inout bit; IO_AH6 :inout bit; IO_AJ2 :inout bit; IO_AJ3 :inout bit; IO_AJ4 :inout bit; IO_AJ5 :inout bit; IO_AJ6 :inout bit; IO_AJ8 :inout bit; IO_AK8 :inout bit; IO_B10 :inout bit; IO_B12 :inout bit; IO_B14 :inout bit; IO_B16 :inout bit; IO_B18 :inout bit; IO_B19 :inout bit; IO_B21 :inout bit; IO_B23 :inout bit; IO_B25 :inout bit; IO_B27 :inout bit; IO_B29 :inout bit; IO_B31 :inout bit; IO_B33 :inout bit; IO_C10 :inout bit; IO_C11 :inout bit; IO_C12 :inout bit; IO_C13 :inout bit; IO_C14 :inout bit; IO_C15 :inout bit; IO_C16 :inout bit; IO_C17 :inout bit; IO_C19 :inout bit; IO_C20 :inout bit; IO_C21 :inout bit; IO_C22 :inout bit; IO_C23 :inout bit; IO_C24 :inout bit; IO_C25 :inout bit; IO_C26 :inout bit; IO_C27 :inout bit; IO_C28 :inout bit; IO_C29 :inout bit; IO_C30 :inout bit; IO_C31 :inout bit; IO_C32 :inout bit; IO_C34 :inout bit; IO_D11 :inout bit; IO_D13 :inout bit; IO_D15 :inout bit; IO_D17 :inout bit; IO_D18 :inout bit; IO_D19 :inout bit; IO_D20 :inout bit; IO_D22 :inout bit; IO_D24 :inout bit; IO_D26 :inout bit; IO_D28 :inout bit; IO_D30 :inout bit; IO_D32 :inout bit; IO_D33 :inout bit; IO_D34 :inout bit; IO_E11 :inout bit; IO_E13 :inout bit; IO_E15 :inout bit; IO_E17 :inout bit; IO_E18 :inout bit; IO_E20 :inout bit; IO_E22 :inout bit; IO_E24 :inout bit; IO_E26 :inout bit; IO_E28 :inout bit; IO_E30 :inout bit; IO_E31 :inout bit; IO_E32 :inout bit; IO_E33 :inout bit; IO_F10 :inout bit; IO_F11 :inout bit; IO_F12 :inout bit; IO_F13 :inout bit; IO_F14 :inout bit; IO_F15 :inout bit; IO_F16 :inout bit; IO_F17 :inout bit; IO_F18 :inout bit; IO_F19 :inout bit; IO_F20 :inout bit; IO_F21 :inout bit; IO_F22 :inout bit; IO_F23 :inout bit; IO_F24 :inout bit; IO_F25 :inout bit; IO_F26 :inout bit; IO_F27 :inout bit; IO_F28 :inout bit; IO_F29 :inout bit; IO_F30 :inout bit; IO_F31 :inout bit; IO_F32 :inout bit; IO_F33 :inout bit; IO_F34 :inout bit; IO_G10 :inout bit; IO_G12 :inout bit; IO_G14 :inout bit; IO_G16 :inout bit; IO_G18 :inout bit; IO_G19 :inout bit; IO_G20 :inout bit; IO_G21 :inout bit; IO_G23 :inout bit; IO_G25 :inout bit; IO_G27 :inout bit; IO_G29 :inout bit; IO_G30 :inout bit; IO_G31 :inout bit; IO_G34 :inout bit; IO_H10 :inout bit; IO_H12 :inout bit; IO_H14 :inout bit; IO_H16 :inout bit; IO_H18 :inout bit; IO_H20 :inout bit; IO_H21 :inout bit; IO_H23 :inout bit; IO_H25 :inout bit; IO_H27 :inout bit; IO_H29 :inout bit; IO_H31 :inout bit; IO_H32 :inout bit; IO_H33 :inout bit; IO_H34 :inout bit; IO_J10 :inout bit; IO_J11 :inout bit; IO_J12 :inout bit; IO_J13 :inout bit; IO_J14 :inout bit; IO_J15 :inout bit; IO_J16 :inout bit; IO_J17 :inout bit; IO_J18 :inout bit; IO_J19 :inout bit; IO_J20 :inout bit; IO_J21 :inout bit; IO_J22 :inout bit; IO_J23 :inout bit; IO_J24 :inout bit; IO_J25 :inout bit; IO_J26 :inout bit; IO_J27 :inout bit; IO_J28 :inout bit; IO_J29 :inout bit; IO_J30 :inout bit; IO_J31 :inout bit; IO_J33 :inout bit; IO_J34 :inout bit; IO_K10 :inout bit; IO_K17 :inout bit; IO_K19 :inout bit; IO_K20 :inout bit; IO_K27 :inout bit; IO_K28 :inout bit; IO_K30 :inout bit; IO_K31 :inout bit; IO_K32 :inout bit; IO_K33 :inout bit; IO_L10 :inout bit; IO_L25 :inout bit; IO_L26 :inout bit; IO_L27 :inout bit; IO_L28 :inout bit; IO_L29 :inout bit; IO_L30 :inout bit; IO_L32 :inout bit; IO_L33 :inout bit; IO_L34 :inout bit; IO_M10 :inout bit; IO_M11 :inout bit; IO_M24 :inout bit; IO_M25 :inout bit; IO_M26 :inout bit; IO_M27 :inout bit; IO_M29 :inout bit; IO_M30 :inout bit; IO_M31 :inout bit; IO_M32 :inout bit; IO_M34 :inout bit; IO_N10 :inout bit; IO_N11 :inout bit; IO_N12 :inout bit; IO_N23 :inout bit; IO_N24 :inout bit; IO_N26 :inout bit; IO_N27 :inout bit; IO_N28 :inout bit; IO_N29 :inout bit; IO_N31 :inout bit; IO_N32 :inout bit; IO_N33 :inout bit; IO_N34 :inout bit; IO_P11 :inout bit; IO_P12 :inout bit; IO_P23 :inout bit; IO_P24 :inout bit; IO_P25 :inout bit; IO_P26 :inout bit; IO_P28 :inout bit; IO_P29 :inout bit; IO_P30 :inout bit; IO_P31 :inout bit; IO_P33 :inout bit; IO_P34 :inout bit; IO_R10 :inout bit; IO_R11 :inout bit; IO_R12 :inout bit; IO_R23 :inout bit; IO_R24 :inout bit; IO_R25 :inout bit; IO_R26 :inout bit; IO_R27 :inout bit; IO_R28 :inout bit; IO_R30 :inout bit; IO_R31 :inout bit; IO_R32 :inout bit; IO_R33 :inout bit; IO_T10 :inout bit; IO_T11 :inout bit; IO_T12 :inout bit; IO_T23 :inout bit; IO_T24 :inout bit; IO_T25 :inout bit; IO_T27 :inout bit; IO_T28 :inout bit; IO_T29 :inout bit; IO_T30 :inout bit; IO_T32 :inout bit; IO_T33 :inout bit; IO_T34 :inout bit; IO_U10 :inout bit; IO_U11 :inout bit; IO_U12 :inout bit; IO_U23 :inout bit; IO_U24 :inout bit; IO_U25 :inout bit; IO_U26 :inout bit; IO_U27 :inout bit; IO_U29 :inout bit; IO_U30 :inout bit; IO_U31 :inout bit; IO_U32 :inout bit; IO_U34 :inout bit; IO_V10 :inout bit; IO_V11 :inout bit; IO_V12 :inout bit; IO_V23 :inout bit; IO_V24 :inout bit; IO_V26 :inout bit; IO_V27 :inout bit; IO_V28 :inout bit; IO_V29 :inout bit; IO_V31 :inout bit; IO_V32 :inout bit; IO_V33 :inout bit; IO_V34 :inout bit; IO_W11 :inout bit; IO_W12 :inout bit; IO_W23 :inout bit; IO_W24 :inout bit; IO_W25 :inout bit; IO_W26 :inout bit; IO_W28 :inout bit; IO_W29 :inout bit; IO_W30 :inout bit; IO_W31 :inout bit; IO_W33 :inout bit; IO_W34 :inout bit; IO_Y10 :inout bit; IO_Y11 :inout bit; IO_Y12 :inout bit; IO_Y23 :inout bit; IO_Y24 :inout bit; IO_Y25 :inout bit; IO_Y26 :inout bit; IO_Y27 :inout bit; IO_Y28 :inout bit; IO_Y30 :inout bit; IO_Y31 :inout bit; IO_Y32 :inout bit; IO_Y33 :inout bit; IO_AA10 :inout bit; IO_AA11 :inout bit; IO_AA12 :inout bit; IO_AA25 :inout bit; IO_AA27 :inout bit; IO_AA28 :inout bit; IO_AA29 :inout bit; IO_AA30 :inout bit; IO_AA32 :inout bit; IO_AA33 :inout bit; IO_AA34 :inout bit; IO_AB10 :inout bit; IO_AB23 :inout bit; IO_AB24 :inout bit; IO_AB25 :inout bit; IO_AB26 :inout bit; IO_AB27 :inout bit; IO_AB29 :inout bit; IO_AB30 :inout bit; IO_AB31 :inout bit; IO_AB32 :inout bit; IO_AB34 :inout bit; IO_AC23 :inout bit; IO_AC24 :inout bit; IO_AC25 :inout bit; IO_AC26 :inout bit; IO_AC27 :inout bit; IO_AC28 :inout bit; IO_AC29 :inout bit; IO_AC31 :inout bit; IO_AC32 :inout bit; IO_AC33 :inout bit; IO_AC34 :inout bit; IO_AD24 :inout bit; IO_AD25 :inout bit; IO_AD26 :inout bit; IO_AD28 :inout bit; IO_AD29 :inout bit; IO_AD30 :inout bit; IO_AD31 :inout bit; IO_AD33 :inout bit; IO_AD34 :inout bit; IO_AE17 :inout bit; IO_AE26 :inout bit; IO_AE27 :inout bit; IO_AE28 :inout bit; IO_AE30 :inout bit; IO_AE31 :inout bit; IO_AE32 :inout bit; IO_AE33 :inout bit; IO_AF16 :inout bit; IO_AF17 :inout bit; IO_AF18 :inout bit; IO_AF27 :inout bit; IO_AF28 :inout bit; IO_AF29 :inout bit; IO_AF30 :inout bit; IO_AF32 :inout bit; IO_AF33 :inout bit; IO_AF34 :inout bit; IO_AG16 :inout bit; IO_AG18 :inout bit; IO_AG27 :inout bit; IO_AG28 :inout bit; IO_AG29 :inout bit; IO_AG30 :inout bit; IO_AG31 :inout bit; IO_AG32 :inout bit; IO_AG34 :inout bit; IO_AH17 :inout bit; IO_AH28 :inout bit; IO_AH29 :inout bit; IO_AH30 :inout bit; IO_AH31 :inout bit; IO_AH32 :inout bit; IO_AH33 :inout bit; IO_AH34 :inout bit; IO_AJ10 :inout bit; IO_AJ12 :inout bit; IO_AJ14 :inout bit; IO_AJ17 :inout bit; IO_AJ20 :inout bit; IO_AJ22 :inout bit; IO_AJ24 :inout bit; IO_AJ26 :inout bit; IO_AJ28 :inout bit; IO_AJ29 :inout bit; IO_AJ30 :inout bit; IO_AJ32 :inout bit; IO_AJ33 :inout bit; IO_AJ34 :inout bit; IO_AK10 :inout bit; IO_AK12 :inout bit; IO_AK14 :inout bit; IO_AK20 :inout bit; IO_AK22 :inout bit; IO_AK24 :inout bit; IO_AK26 :inout bit; JTAGSEL :linkage bit; MDDR_IMP_CALIB:linkage bit; SC_SPI_SS :inout bit; SC_SPI_CLK :inout bit; SC_SPI_SDI :in bit; SC_SPI_SDO :out bit; SERDES_0_VDD:linkage bit_vector (0 to 1 ); SERDES_1_VDD:linkage bit_vector (0 to 1 ); SERDES_2_VDD:linkage bit_vector (0 to 1 ); SERDES_3_VDD:linkage bit_vector (0 to 1 ); SERDES_0_RXD0_N:linkage bit; SERDES_0_RXD0_P:linkage bit; SERDES_0_RXD1_N:linkage bit; SERDES_0_RXD1_P:linkage bit; SERDES_0_RXD2_N:linkage bit; SERDES_0_RXD2_P:linkage bit; SERDES_0_RXD3_N:linkage bit; SERDES_0_RXD3_P:linkage bit; SERDES_0_TXD0_N:linkage bit; SERDES_0_TXD0_P:linkage bit; SERDES_0_TXD1_N:linkage bit; SERDES_0_TXD1_P:linkage bit; SERDES_0_TXD2_N:linkage bit; SERDES_0_TXD2_P:linkage bit; SERDES_0_TXD3_N:linkage bit; SERDES_0_TXD3_P:linkage bit; SERDES_1_RXD0_N:linkage bit; SERDES_1_RXD0_P:linkage bit; SERDES_1_RXD1_N:linkage bit; SERDES_1_RXD1_P:linkage bit; SERDES_1_RXD2_N:linkage bit; SERDES_1_RXD2_P:linkage bit; SERDES_1_RXD3_N:linkage bit; SERDES_1_RXD3_P:linkage bit; SERDES_1_TXD0_N:linkage bit; SERDES_1_TXD0_P:linkage bit; SERDES_1_TXD1_N:linkage bit; SERDES_1_TXD1_P:linkage bit; SERDES_1_TXD2_N:linkage bit; SERDES_1_TXD2_P:linkage bit; SERDES_1_TXD3_N:linkage bit; SERDES_1_TXD3_P:linkage bit; SERDES_2_RXD0_N:linkage bit; SERDES_2_RXD0_P:linkage bit; SERDES_2_RXD1_N:linkage bit; SERDES_2_RXD1_P:linkage bit; SERDES_2_RXD2_N:linkage bit; SERDES_2_RXD2_P:linkage bit; SERDES_2_RXD3_N:linkage bit; SERDES_2_RXD3_P:linkage bit; SERDES_2_TXD0_N:linkage bit; SERDES_2_TXD0_P:linkage bit; SERDES_2_TXD1_N:linkage bit; SERDES_2_TXD1_P:linkage bit; SERDES_2_TXD2_N:linkage bit; SERDES_2_TXD2_P:linkage bit; SERDES_2_TXD3_N:linkage bit; SERDES_2_TXD3_P:linkage bit; SERDES_3_RXD0_N:linkage bit; SERDES_3_RXD0_P:linkage bit; SERDES_3_RXD1_N:linkage bit; SERDES_3_RXD1_P:linkage bit; SERDES_3_RXD2_N:linkage bit; SERDES_3_RXD2_P:linkage bit; SERDES_3_RXD3_N:linkage bit; SERDES_3_RXD3_P:linkage bit; SERDES_3_TXD0_N:linkage bit; SERDES_3_TXD0_P:linkage bit; SERDES_3_TXD1_N:linkage bit; SERDES_3_TXD1_P:linkage bit; SERDES_3_TXD2_N:linkage bit; SERDES_3_TXD2_P:linkage bit; SERDES_3_TXD3_N:linkage bit; SERDES_3_TXD3_P:linkage bit; SERDES_0_L01_REXT:linkage bit; SERDES_0_L23_REXT:linkage bit; SERDES_1_L01_REXT:linkage bit; SERDES_1_L23_REXT:linkage bit; SERDES_2_L01_REXT:linkage bit; SERDES_2_L23_REXT:linkage bit; SERDES_3_L01_REXT:linkage bit; SERDES_3_L23_REXT:linkage bit; SERDES_0_L01_REFRET:linkage bit; SERDES_0_L23_REFRET:linkage bit; SERDES_1_L01_REFRET:linkage bit; SERDES_1_L23_REFRET:linkage bit; SERDES_2_L01_REFRET:linkage bit; SERDES_2_L23_REFRET:linkage bit; SERDES_3_L01_REFRET:linkage bit; SERDES_3_L23_REFRET:linkage bit; TCK :in bit; TDI :in bit; TDO :out bit; TMS :in bit; TRST :in bit; VDD :linkage bit_vector (0 to 26 ); VDDA0 :linkage bit_vector (0 to 37 ); VDDI0 :linkage bit_vector (0 to 1 ); VDDI1 :linkage bit_vector (0 to 23 ); VDDI10 :linkage bit; VDDI11 :linkage bit; VDDI12 :linkage bit; VDDI13 :linkage bit; VDDI14 :linkage bit_vector (0 to 1 ); VDDI15 :linkage bit_vector (0 to 3 ); VDDI16 :linkage bit_vector (0 to 6 ); VDDI17 :linkage bit_vector (0 to 4 ); VDDI18 :linkage bit_vector (0 to 5 ); VDDI2 :linkage bit_vector (0 to 23 ); VDDI3 :linkage bit_vector (0 to 4 ); VDDI4 :linkage bit_vector (0 to 5 ); VDDI5 :linkage bit_vector (0 to 5 ); VDDI6 :linkage bit_vector (0 to 4 ); VDDI7 :linkage bit; VDDI8 :linkage bit_vector (0 to 2 ); VDDI9 :linkage bit; VPP :linkage bit_vector (0 to 6 ); VREF1 :linkage bit_vector (0 to 2 ); VREF2 :linkage bit_vector (0 to 2 ); VSS :linkage bit_vector (0 to 276 ); VSSA0 :linkage bit_vector (0 to 13 ); XTLOSC_MAIN_XTAL:linkage bit; XTLOSC_MAIN_EXTAL:linkage bit ); use STD_1149_1_1994.all; attribute COMPONENT_CONFORMANCE of M2GL150Tfc1152: entity is "STD_1149_1_1993"; attribute PIN_MAP of M2GL150Tfc1152 : entity is PHYSICAL_PIN_MAP; constant fc1152 : PIN_MAP_STRING:= "DEVRST_N :AE5, "& "FDDR_IMP_CALIB:C33, "& "IO_A2 :A2, "& "IO_A3 :A3, "& "IO_A5 :A5, "& "IO_A6 :A6, "& "IO_A8 :A8, "& "IO_B2 :B2, "& "IO_B3 :B3, "& "IO_B4 :B4, "& "IO_B5 :B5, "& "IO_B6 :B6, "& "IO_B8 :B8, "& "IO_C1 :C1, "& "IO_C2 :C2, "& "IO_C4 :C4, "& "IO_C5 :C5, "& "IO_C6 :C6, "& "IO_C7 :C7, "& "IO_C8 :C8, "& "IO_C9 :C9, "& "IO_D1 :D1, "& "IO_D2 :D2, "& "IO_D3 :D3, "& "IO_D4 :D4, "& "IO_D5 :D5, "& "IO_D7 :D7, "& "IO_D9 :D9, "& "IO_E1 :E1, "& "IO_E2 :E2, "& "IO_E3 :E3, "& "IO_E5 :E5, "& "IO_E6 :E6, "& "IO_E7 :E7, "& "IO_E9 :E9, "& "IO_F2 :F2, "& "IO_F3 :F3, "& "IO_F4 :F4, "& "IO_F5 :F5, "& "IO_F6 :F6, "& "IO_F7 :F7, "& "IO_F8 :F8, "& "IO_F9 :F9, "& "IO_G1 :G1, "& "IO_G2 :G2, "& "IO_G3 :G3, "& "IO_G5 :G5, "& "IO_G7 :G7, "& "IO_G8 :G8, "& "IO_H1 :H1, "& "IO_H3 :H3, "& "IO_H4 :H4, "& "IO_H5 :H5, "& "IO_H6 :H6, "& "IO_H7 :H7, "& "IO_H8 :H8, "& "IO_J1 :J1, "& "IO_J2 :J2, "& "IO_J3 :J3, "& "IO_J4 :J4, "& "IO_J6 :J6, "& "IO_J7 :J7, "& "IO_J8 :J8, "& "IO_J9 :J9, "& "IO_K1 :K1, "& "IO_K2 :K2, "& "IO_K4 :K4, "& "IO_K5 :K5, "& "IO_K6 :K6, "& "IO_K7 :K7, "& "IO_K9 :K9, "& "IO_L2 :L2, "& "IO_L3 :L3, "& "IO_L4 :L4, "& "IO_L5 :L5, "& "IO_L7 :L7, "& "IO_L8 :L8, "& "IO_L9 :L9, "& "IO_M1 :M1, "& "IO_M2 :M2, "& "IO_M3 :M3, "& "IO_M5 :M5, "& "IO_M6 :M6, "& "IO_M7 :M7, "& "IO_M8 :M8, "& "IO_N1 :N1, "& "IO_N3 :N3, "& "IO_N4 :N4, "& "IO_N5 :N5, "& "IO_N6 :N6, "& "IO_N8 :N8, "& "IO_N9 :N9, "& "IO_P1 :P1, "& "IO_P2 :P2, "& "IO_P3 :P3, "& "IO_P4 :P4, "& "IO_P6 :P6, "& "IO_P7 :P7, "& "IO_P8 :P8, "& "IO_P9 :P9, "& "IO_R1 :R1, "& "IO_R2 :R2, "& "IO_R4 :R4, "& "IO_R5 :R5, "& "IO_R6 :R6, "& "IO_R7 :R7, "& "IO_R9 :R9, "& "IO_T2 :T2, "& "IO_T3 :T3, "& "IO_T4 :T4, "& "IO_T5 :T5, "& "IO_T7 :T7, "& "IO_T8 :T8, "& "IO_T9 :T9, "& "IO_U1 :U1, "& "IO_U2 :U2, "& "IO_U3 :U3, "& "IO_U5 :U5, "& "IO_U6 :U6, "& "IO_U7 :U7, "& "IO_U8 :U8, "& "IO_V1 :V1, "& "IO_V3 :V3, "& "IO_V4 :V4, "& "IO_V5 :V5, "& "IO_V6 :V6, "& "IO_V8 :V8, "& "IO_V9 :V9, "& "IO_W1 :W1, "& "IO_W2 :W2, "& "IO_W3 :W3, "& "IO_W4 :W4, "& "IO_W6 :W6, "& "IO_W7 :W7, "& "IO_W8 :W8, "& "IO_W9 :W9, "& "IO_Y1 :Y1, "& "IO_Y2 :Y2, "& "IO_Y4 :Y4, "& "IO_Y5 :Y5, "& "IO_Y6 :Y6, "& "IO_Y7 :Y7, "& "IO_Y9 :Y9, "& "IO_A10 :A10, "& "IO_A12 :A12, "& "IO_A14 :A14, "& "IO_A16 :A16, "& "IO_A18 :A18, "& "IO_A19 :A19, "& "IO_A21 :A21, "& "IO_A23 :A23, "& "IO_A25 :A25, "& "IO_A27 :A27, "& "IO_A29 :A29, "& "IO_A31 :A31, "& "IO_A33 :A33, "& "IO_AA2 :AA2, "& "IO_AA3 :AA3, "& "IO_AA4 :AA4, "& "IO_AA5 :AA5, "& "IO_AA7 :AA7, "& "IO_AA8 :AA8, "& "IO_AA9 :AA9, "& "IO_AB1 :AB1, "& "IO_AB2 :AB2, "& "IO_AB3 :AB3, "& "IO_AB5 :AB5, "& "IO_AB6 :AB6, "& "IO_AB7 :AB7, "& "IO_AB8 :AB8, "& "IO_AC1 :AC1, "& "IO_AC3 :AC3, "& "IO_AC4 :AC4, "& "IO_AC5 :AC5, "& "IO_AC6 :AC6, "& "IO_AC8 :AC8, "& "IO_AC9 :AC9, "& "IO_AD1 :AD1, "& "IO_AD2 :AD2, "& "IO_AD3 :AD3, "& "IO_AD4 :AD4, "& "IO_AD7 :AD7, "& "IO_AE4 :AE4, "& "IO_AE6 :AE6, "& "IO_AE7 :AE7, "& "IO_AF5 :AF5, "& "IO_AF7 :AF7, "& "IO_AG3 :AG3, "& "IO_AG4 :AG4, "& "IO_AG5 :AG5, "& "IO_AG6 :AG6, "& "IO_AG7 :AG7, "& "IO_AH3 :AH3, "& "IO_AH5 :AH5, "& "IO_AH6 :AH6, "& "IO_AJ2 :AJ2, "& "IO_AJ3 :AJ3, "& "IO_AJ4 :AJ4, "& "IO_AJ5 :AJ5, "& "IO_AJ6 :AJ6, "& "IO_AJ8 :AJ8, "& "IO_AK8 :AK8, "& "IO_B10 :B10, "& "IO_B12 :B12, "& "IO_B14 :B14, "& "IO_B16 :B16, "& "IO_B18 :B18, "& "IO_B19 :B19, "& "IO_B21 :B21, "& "IO_B23 :B23, "& "IO_B25 :B25, "& "IO_B27 :B27, "& "IO_B29 :B29, "& "IO_B31 :B31, "& "IO_B33 :B33, "& "IO_C10 :C10, "& "IO_C11 :C11, "& "IO_C12 :C12, "& "IO_C13 :C13, "& "IO_C14 :C14, "& "IO_C15 :C15, "& "IO_C16 :C16, "& "IO_C17 :C17, "& "IO_C19 :C19, "& "IO_C20 :C20, "& "IO_C21 :C21, "& "IO_C22 :C22, "& "IO_C23 :C23, "& "IO_C24 :C24, "& "IO_C25 :C25, "& "IO_C26 :C26, "& "IO_C27 :C27, "& "IO_C28 :C28, "& "IO_C29 :C29, "& "IO_C30 :C30, "& "IO_C31 :C31, "& "IO_C32 :C32, "& "IO_C34 :C34, "& "IO_D11 :D11, "& "IO_D13 :D13, "& "IO_D15 :D15, "& "IO_D17 :D17, "& "IO_D18 :D18, "& "IO_D19 :D19, "& "IO_D20 :D20, "& "IO_D22 :D22, "& "IO_D24 :D24, "& "IO_D26 :D26, "& "IO_D28 :D28, "& "IO_D30 :D30, "& "IO_D32 :D32, "& "IO_D33 :D33, "& "IO_D34 :D34, "& "IO_E11 :E11, "& "IO_E13 :E13, "& "IO_E15 :E15, "& "IO_E17 :E17, "& "IO_E18 :E18, "& "IO_E20 :E20, "& "IO_E22 :E22, "& "IO_E24 :E24, "& "IO_E26 :E26, "& "IO_E28 :E28, "& "IO_E30 :E30, "& "IO_E31 :E31, "& "IO_E32 :E32, "& "IO_E33 :E33, "& "IO_F10 :F10, "& "IO_F11 :F11, "& "IO_F12 :F12, "& "IO_F13 :F13, "& "IO_F14 :F14, "& "IO_F15 :F15, "& "IO_F16 :F16, "& "IO_F17 :F17, "& "IO_F18 :F18, "& "IO_F19 :F19, "& "IO_F20 :F20, "& "IO_F21 :F21, "& "IO_F22 :F22, "& "IO_F23 :F23, "& "IO_F24 :F24, "& "IO_F25 :F25, "& "IO_F26 :F26, "& "IO_F27 :F27, "& "IO_F28 :F28, "& "IO_F29 :F29, "& "IO_F30 :F30, "& "IO_F31 :F31, "& "IO_F32 :F32, "& "IO_F33 :F33, "& "IO_F34 :F34, "& "IO_G10 :G10, "& "IO_G12 :G12, "& "IO_G14 :G14, "& "IO_G16 :G16, "& "IO_G18 :G18, "& "IO_G19 :G19, "& "IO_G20 :G20, "& "IO_G21 :G21, "& "IO_G23 :G23, "& "IO_G25 :G25, "& "IO_G27 :G27, "& "IO_G29 :G29, "& "IO_G30 :G30, "& "IO_G31 :G31, "& "IO_G34 :G34, "& "IO_H10 :H10, "& "IO_H12 :H12, "& "IO_H14 :H14, "& "IO_H16 :H16, "& "IO_H18 :H18, "& "IO_H20 :H20, "& "IO_H21 :H21, "& "IO_H23 :H23, "& "IO_H25 :H25, "& "IO_H27 :H27, "& "IO_H29 :H29, "& "IO_H31 :H31, "& "IO_H32 :H32, "& "IO_H33 :H33, "& "IO_H34 :H34, "& "IO_J10 :J10, "& "IO_J11 :J11, "& "IO_J12 :J12, "& "IO_J13 :J13, "& "IO_J14 :J14, "& "IO_J15 :J15, "& "IO_J16 :J16, "& "IO_J17 :J17, "& "IO_J18 :J18, "& "IO_J19 :J19, "& "IO_J20 :J20, "& "IO_J21 :J21, "& "IO_J22 :J22, "& "IO_J23 :J23, "& "IO_J24 :J24, "& "IO_J25 :J25, "& "IO_J26 :J26, "& "IO_J27 :J27, "& "IO_J28 :J28, "& "IO_J29 :J29, "& "IO_J30 :J30, "& "IO_J31 :J31, "& "IO_J33 :J33, "& "IO_J34 :J34, "& "IO_K10 :K10, "& "IO_K17 :K17, "& "IO_K19 :K19, "& "IO_K20 :K20, "& "IO_K27 :K27, "& "IO_K28 :K28, "& "IO_K30 :K30, "& "IO_K31 :K31, "& "IO_K32 :K32, "& "IO_K33 :K33, "& "IO_L10 :L10, "& "IO_L25 :L25, "& "IO_L26 :L26, "& "IO_L27 :L27, "& "IO_L28 :L28, "& "IO_L29 :L29, "& "IO_L30 :L30, "& "IO_L32 :L32, "& "IO_L33 :L33, "& "IO_L34 :L34, "& "IO_M10 :M10, "& "IO_M11 :M11, "& "IO_M24 :M24, "& "IO_M25 :M25, "& "IO_M26 :M26, "& "IO_M27 :M27, "& "IO_M29 :M29, "& "IO_M30 :M30, "& "IO_M31 :M31, "& "IO_M32 :M32, "& "IO_M34 :M34, "& "IO_N10 :N10, "& "IO_N11 :N11, "& "IO_N12 :N12, "& "IO_N23 :N23, "& "IO_N24 :N24, "& "IO_N26 :N26, "& "IO_N27 :N27, "& "IO_N28 :N28, "& "IO_N29 :N29, "& "IO_N31 :N31, "& "IO_N32 :N32, "& "IO_N33 :N33, "& "IO_N34 :N34, "& "IO_P11 :P11, "& "IO_P12 :P12, "& "IO_P23 :P23, "& "IO_P24 :P24, "& "IO_P25 :P25, "& "IO_P26 :P26, "& "IO_P28 :P28, "& "IO_P29 :P29, "& "IO_P30 :P30, "& "IO_P31 :P31, "& "IO_P33 :P33, "& "IO_P34 :P34, "& "IO_R10 :R10, "& "IO_R11 :R11, "& "IO_R12 :R12, "& "IO_R23 :R23, "& "IO_R24 :R24, "& "IO_R25 :R25, "& "IO_R26 :R26, "& "IO_R27 :R27, "& "IO_R28 :R28, "& "IO_R30 :R30, "& "IO_R31 :R31, "& "IO_R32 :R32, "& "IO_R33 :R33, "& "IO_T10 :T10, "& "IO_T11 :T11, "& "IO_T12 :T12, "& "IO_T23 :T23, "& "IO_T24 :T24, "& "IO_T25 :T25, "& "IO_T27 :T27, "& "IO_T28 :T28, "& "IO_T29 :T29, "& "IO_T30 :T30, "& "IO_T32 :T32, "& "IO_T33 :T33, "& "IO_T34 :T34, "& "IO_U10 :U10, "& "IO_U11 :U11, "& "IO_U12 :U12, "& "IO_U23 :U23, "& "IO_U24 :U24, "& "IO_U25 :U25, "& "IO_U26 :U26, "& "IO_U27 :U27, "& "IO_U29 :U29, "& "IO_U30 :U30, "& "IO_U31 :U31, "& "IO_U32 :U32, "& "IO_U34 :U34, "& "IO_V10 :V10, "& "IO_V11 :V11, "& "IO_V12 :V12, "& "IO_V23 :V23, "& "IO_V24 :V24, "& "IO_V26 :V26, "& "IO_V27 :V27, "& "IO_V28 :V28, "& "IO_V29 :V29, "& "IO_V31 :V31, "& "IO_V32 :V32, "& "IO_V33 :V33, "& "IO_V34 :V34, "& "IO_W11 :W11, "& "IO_W12 :W12, "& "IO_W23 :W23, "& "IO_W24 :W24, "& "IO_W25 :W25, "& "IO_W26 :W26, "& "IO_W28 :W28, "& "IO_W29 :W29, "& "IO_W30 :W30, "& "IO_W31 :W31, "& "IO_W33 :W33, "& "IO_W34 :W34, "& "IO_Y10 :Y10, "& "IO_Y11 :Y11, "& "IO_Y12 :Y12, "& "IO_Y23 :Y23, "& "IO_Y24 :Y24, "& "IO_Y25 :Y25, "& "IO_Y26 :Y26, "& "IO_Y27 :Y27, "& "IO_Y28 :Y28, "& "IO_Y30 :Y30, "& "IO_Y31 :Y31, "& "IO_Y32 :Y32, "& "IO_Y33 :Y33, "& "IO_AA10 :AA10, "& "IO_AA11 :AA11, "& "IO_AA12 :AA12, "& "IO_AA25 :AA25, "& "IO_AA27 :AA27, "& "IO_AA28 :AA28, "& "IO_AA29 :AA29, "& "IO_AA30 :AA30, "& "IO_AA32 :AA32, "& "IO_AA33 :AA33, "& "IO_AA34 :AA34, "& "IO_AB10 :AB10, "& "IO_AB23 :AB23, "& "IO_AB24 :AB24, "& "IO_AB25 :AB25, "& "IO_AB26 :AB26, "& "IO_AB27 :AB27, "& "IO_AB29 :AB29, "& "IO_AB30 :AB30, "& "IO_AB31 :AB31, "& "IO_AB32 :AB32, "& "IO_AB34 :AB34, "& "IO_AC23 :AC23, "& "IO_AC24 :AC24, "& "IO_AC25 :AC25, "& "IO_AC26 :AC26, "& "IO_AC27 :AC27, "& "IO_AC28 :AC28, "& "IO_AC29 :AC29, "& "IO_AC31 :AC31, "& "IO_AC32 :AC32, "& "IO_AC33 :AC33, "& "IO_AC34 :AC34, "& "IO_AD24 :AD24, "& "IO_AD25 :AD25, "& "IO_AD26 :AD26, "& "IO_AD28 :AD28, "& "IO_AD29 :AD29, "& "IO_AD30 :AD30, "& "IO_AD31 :AD31, "& "IO_AD33 :AD33, "& "IO_AD34 :AD34, "& "IO_AE17 :AE17, "& "IO_AE26 :AE26, "& "IO_AE27 :AE27, "& "IO_AE28 :AE28, "& "IO_AE30 :AE30, "& "IO_AE31 :AE31, "& "IO_AE32 :AE32, "& "IO_AE33 :AE33, "& "IO_AF16 :AF16, "& "IO_AF17 :AF17, "& "IO_AF18 :AF18, "& "IO_AF27 :AF27, "& "IO_AF28 :AF28, "& "IO_AF29 :AF29, "& "IO_AF30 :AF30, "& "IO_AF32 :AF32, "& "IO_AF33 :AF33, "& "IO_AF34 :AF34, "& "IO_AG16 :AG16, "& "IO_AG18 :AG18, "& "IO_AG27 :AG27, "& "IO_AG28 :AG28, "& "IO_AG29 :AG29, "& "IO_AG30 :AG30, "& "IO_AG31 :AG31, "& "IO_AG32 :AG32, "& "IO_AG34 :AG34, "& "IO_AH17 :AH17, "& "IO_AH28 :AH28, "& "IO_AH29 :AH29, "& "IO_AH30 :AH30, "& "IO_AH31 :AH31, "& "IO_AH32 :AH32, "& "IO_AH33 :AH33, "& "IO_AH34 :AH34, "& "IO_AJ10 :AJ10, "& "IO_AJ12 :AJ12, "& "IO_AJ14 :AJ14, "& "IO_AJ17 :AJ17, "& "IO_AJ20 :AJ20, "& "IO_AJ22 :AJ22, "& "IO_AJ24 :AJ24, "& "IO_AJ26 :AJ26, "& "IO_AJ28 :AJ28, "& "IO_AJ29 :AJ29, "& "IO_AJ30 :AJ30, "& "IO_AJ32 :AJ32, "& "IO_AJ33 :AJ33, "& "IO_AJ34 :AJ34, "& "IO_AK10 :AK10, "& "IO_AK12 :AK12, "& "IO_AK14 :AK14, "& "IO_AK20 :AK20, "& "IO_AK22 :AK22, "& "IO_AK24 :AK24, "& "IO_AK26 :AK26, "& "JTAGSEL :AG2, "& "MDDR_IMP_CALIB:K15, "& "SC_SPI_SS :AC10, "& "SC_SPI_CLK:AD9, "& "SC_SPI_SDI:AD8, "& "SC_SPI_SDO:AC11, "& "SERDES_0_VDD:(AC21, AB20), "& "SERDES_1_VDD:(AB18, AC19), "& "SERDES_2_VDD:(AB16, AC15), "& "SERDES_3_VDD:(AB14, AC13), "& "SERDES_0_RXD0_N:AM33, "& "SERDES_0_RXD0_P:AL33, "& "SERDES_0_RXD1_N:AM31, "& "SERDES_0_RXD1_P:AL31, "& "SERDES_0_RXD2_N:AM29, "& "SERDES_0_RXD2_P:AL29, "& "SERDES_0_RXD3_N:AM27, "& "SERDES_0_RXD3_P:AL27, "& "SERDES_0_TXD0_N:AN32, "& "SERDES_0_TXD0_P:AP32, "& "SERDES_0_TXD1_N:AN30, "& "SERDES_0_TXD1_P:AP30, "& "SERDES_0_TXD2_N:AN28, "& "SERDES_0_TXD2_P:AP28, "& "SERDES_0_TXD3_N:AN26, "& "SERDES_0_TXD3_P:AP26, "& "SERDES_1_RXD0_N:AM25, "& "SERDES_1_RXD0_P:AL25, "& "SERDES_1_RXD1_N:AM23, "& "SERDES_1_RXD1_P:AL23, "& "SERDES_1_RXD2_N:AM21, "& "SERDES_1_RXD2_P:AL21, "& "SERDES_1_RXD3_N:AM19, "& "SERDES_1_RXD3_P:AL19, "& "SERDES_1_TXD0_N:AN24, "& "SERDES_1_TXD0_P:AP24, "& "SERDES_1_TXD1_N:AN22, "& "SERDES_1_TXD1_P:AP22, "& "SERDES_1_TXD2_N:AN20, "& "SERDES_1_TXD2_P:AP20, "& "SERDES_1_TXD3_N:AN18, "& "SERDES_1_TXD3_P:AP18, "& "SERDES_2_RXD0_N:AL17, "& "SERDES_2_RXD0_P:AM17, "& "SERDES_2_RXD1_N:AL15, "& "SERDES_2_RXD1_P:AM15, "& "SERDES_2_RXD2_N:AL13, "& "SERDES_2_RXD2_P:AM13, "& "SERDES_2_RXD3_N:AL11, "& "SERDES_2_RXD3_P:AM11, "& "SERDES_2_TXD0_N:AP16, "& "SERDES_2_TXD0_P:AN16, "& "SERDES_2_TXD1_N:AP14, "& "SERDES_2_TXD1_P:AN14, "& "SERDES_2_TXD2_N:AP12, "& "SERDES_2_TXD2_P:AN12, "& "SERDES_2_TXD3_N:AP10, "& "SERDES_2_TXD3_P:AN10, "& "SERDES_3_RXD0_N:AL9, "& "SERDES_3_RXD0_P:AM9, "& "SERDES_3_RXD1_N:AL7, "& "SERDES_3_RXD1_P:AM7, "& "SERDES_3_RXD2_N:AL5, "& "SERDES_3_RXD2_P:AM5, "& "SERDES_3_RXD3_N:AL3, "& "SERDES_3_RXD3_P:AM3, "& "SERDES_3_TXD0_N:AP8, "& "SERDES_3_TXD0_P:AN8, "& "SERDES_3_TXD1_N:AP6, "& "SERDES_3_TXD1_P:AN6, "& "SERDES_3_TXD2_N:AP4, "& "SERDES_3_TXD2_P:AN4, "& "SERDES_3_TXD3_N:AP2, "& "SERDES_3_TXD3_P:AN2, "& "SERDES_0_L01_REXT:AG25, "& "SERDES_0_L23_REXT:AH25, "& "SERDES_1_L01_REXT:AH22, "& "SERDES_1_L23_REXT:AH19, "& "SERDES_2_L01_REXT:AH16, "& "SERDES_2_L23_REXT:AH13, "& "SERDES_3_L01_REXT:AH10, "& "SERDES_3_L23_REXT:AG9, "& "SERDES_0_L01_REFRET:AF25, "& "SERDES_0_L23_REFRET:AG24, "& "SERDES_1_L01_REFRET:AH21, "& "SERDES_1_L23_REFRET:AH20, "& "SERDES_2_L01_REFRET:AJ16, "& "SERDES_2_L23_REFRET:AH14, "& "SERDES_3_L01_REFRET:AH11, "& "SERDES_3_L23_REFRET:AH9, "& "TCK :AF3, "& "TDI :AE1, "& "TDO :AF2, "& "TMS :AE2, "& "TRST :AG1, "& "VDD :(Y16, Y18, Y20, AC17, P14, P16, P18, "& "P20, R15, R17, R19, R21, T16, T18, "& "T20, U15, U17, U19, U21, V16, V18, "& "V20, W15, W17, W19, W21, Y14), "& "VDDA0 :(AE11, K23, L24, K12, M23, AD22, AA23, "& "AE23, Y22, AE21, AF24, AG23, AH24, AF22, "& "AG21, AG19, AJ18, AD20, AH15, AG15, AH12, "& "AF20, AG11, AG10, AF10, AD18, AE19, AD16, "& "AE15, AD14, AF14, AB11, AE13, AB12, AF12, "& "AD12, M13, L13), "& "VDDI0 :(K26, G32), "& "VDDI1 :(B30, B32, C18, E21, E23, E25, E27, "& "E29, H22, H24, H26, H28, K22, L19, "& "L21, M18, M20, N19, N21, B20, B22, "& "B24, B26, B28), "& "VDDI10 :AJ13, "& "VDDI11 :AG17, "& "VDDI12 :AJ21, "& "VDDI13 :AJ25, "& "VDDI14 :(AH27, AJ31), "& "VDDI15 :(AE34, AF31, AB22, AD27), "& "VDDI16 :(AA26, AB33, AC30, V22, V25, W32, Y29), "& "VDDI17 :(M33, R34, T22, T31, U28), "& "VDDI18 :(P27, E34, J32, K29, N30, P22), "& "VDDI2 :(E8, H9, H17, H15, H13, H11, G6, "& "E16, E14, E12, E10, C3, B9, B7, "& "B17, B15, B13, B11, K14, L15, L17, "& "M14, M16, N15), "& "VDDI3 :(F1, G4, L6, M9, N13), "& "VDDI4 :(R8, K3, N2, P5, R13, U13), "& "VDDI5 :(T1, U4, V7, W10, W13, Y3), "& "VDDI6 :(AD5, AA13, AA6, AB9, AC2), "& "VDDI7 :AF1, "& "VDDI8 :(AD6, AH7, AH4), "& "VDDI9 :AJ9, "& "VPP :(AH1, V14, AJ1, T14, AA19, AA17, AA15), "& "VREF1 :(N22, N20, N18), "& "VREF2 :(N17, N16, N14), "& "VSS :(V21, V30, W14, W16, W18, W20, W22, "& "W27, W5, Y13, Y15, Y17, AF4, Y19, "& "Y21, Y34, Y8, A11, A13, A15, A17, "& "A20, A22, A24, A26, A28, A30, A32, "& "A4, A7, A9, AA1, AA14, AA16, AA18, "& "AA20, AA24, AA31, AB15, AB17, AB19, AB21, "& "AB28, AB4, AC14, AC16, AC18, AC20, AC22, "& "AC7, AD10, AD11, AD13, AD15, AD17, AD19, "& "AD21, AD23, AD32, AE10, AE12, AE14, AE16, "& "AE18, AE20, AE22, AE24, AE25, AE29, AE3, "& "AE8, AE9, AF11, AF13, AF15, AF19, AF21, "& "AF23, AF26, AF6, AF8, AG12, AG14, AG20, "& "AG22, AG26, AG33, AG8, AH2, AH26, AH8, "& "AJ11, AJ15, AJ19, AJ23, AJ27, AJ7, AK11, "& "AK13, AK15, AK16, AK17, AK18, AK19, AK2, "& "AK21, AK23, AK25, AK27, AK28, AK29, AK3, "& "AK30, AK31, AK32, AK33, AK34, AK4, AK5, "& "AK6, AK7, AK9, AL10, AL12, AL14, AL16, "& "AL18, AL2, AL20, AL22, AL24, AL26, AL28, "& "AL30, AL32, AL34, AL4, AL6, AL8, AM1, "& "AM10, AM12, AM14, AM16, AM18, AM2, AM20, "& "AM22, AM24, AM26, AM28, AM30, AM32, AM34, "& "AM4, AM6, AM8, AN1, AN11, AN13, AN15, "& "AN17, AN19, AN21, AN23, AN25, AN27, AN29, "& "AN3, AN31, AN33, AN34, AN5, AN7, AN9, "& "AP11, AP13, AP15, AP17, AP19, AP21, AP23, "& "AP25, AP27, AP29, AP3, AP31, AP33, AP5, "& "AP7, AP9, B1, B34, D10, D12, D14, "& "D16, D21, D23, D25, D27, D29, D31, "& "D6, D8, E19, E4, G11, G13, G15, "& "G17, G22, G24, G26, G28, G33, G9, "& "H19, H2, H30, J5, K13, K16, K18, "& "K21, K25, K34, K8, L1, L11, L14, "& "L16, L18, L20, L22, L31, M15, M17, "& "M19, M21, M28, M4, N25, N7, P10, "& "P13, P15, P17, P19, P21, P32, R14, "& "R16, R18, R20, R22, R29, R3, T13, "& "T15, T17, T19, T21, T26, T6, U14, "& "U16, U18, U20, U22, U33, U9, V13, "& "V15, V17, V19, V2), "& "VSSA0 :(L23, M22, AA22, AA21, AH23, AH18, AG13, "& "AF9, AC12, AB13, M12, L12, K11, K24), "& "XTLOSC_MAIN_XTAL:AL1, "& "XTLOSC_MAIN_EXTAL:AK1 "; attribute TAP_SCAN_IN of TDI : signal is true; attribute TAP_SCAN_MODE of TMS : signal is true; attribute TAP_SCAN_OUT of TDO : signal is true; attribute TAP_SCAN_RESET of TRST : signal is true; attribute TAP_SCAN_CLOCK of TCK : signal is ( 2.00e+07, BOTH); attribute INSTRUCTION_LENGTH of M2GL150Tfc1152 : entity is 8; attribute INSTRUCTION_OPCODE of M2GL150Tfc1152 : entity is "BYPASS (11111111), "& "IDCODE (00001111), "& "EXTEST (00000000), "& "SAMPLE (00000001), "& "HIGHZ (00000111), "& "CLAMP (00000101), "& "INTEST (00000110), "& "USERCODE (00001110) "; attribute INSTRUCTION_CAPTURE of M2GL150Tfc1152 : entity is "XXXXXX01"; attribute IDCODE_REGISTER of M2GL150Tfc1152 : entity is "XXXX1111100000000110000111001111"; attribute USERCODE_REGISTER of M2GL150Tfc1152 : entity is "00000000000000000000000000000000"; attribute REGISTER_ACCESS of M2GL150Tfc1152 : entity is "BOUNDARY(EXTEST, SAMPLE, INTEST), "& "BYPASS(BYPASS, HIGHZ, CLAMP), "& "DEVICE_ID(IDCODE)"; attribute BOUNDARY_LENGTH of M2GL150Tfc1152 : entity is 1740; attribute BOUNDARY_REGISTER of M2GL150Tfc1152 : entity is -- num cell port function safe [ccell disval rslt] --BSR AD7 IO_AD7. Scan Cell 0 " 0 (BC_1, IO_AD7, output3, X, 1, 0, Z), "& " 1 (BC_1, *, control, 0), "& " 2 (BC_1, IO_AD7, input, X), "& --BSR AE7 IO_AE7. Scan Cell 1 " 3 (BC_1, IO_AE7, output3, X, 4, 0, Z), "& " 4 (BC_1, *, control, 0), "& " 5 (BC_1, IO_AE7, input, X), "& --BSR AF5 IO_AF5. Scan Cell 2 " 6 (BC_1, IO_AF5, output3, X, 7, 0, Z), "& " 7 (BC_1, *, control, 0), "& " 8 (BC_1, IO_AF5, input, X), "& --BSR AE6 IO_AE6. Scan Cell 3 " 9 (BC_1, IO_AE6, output3, X, 10, 0, Z), "& " 10 (BC_1, *, control, 0), "& " 11 (BC_1, IO_AE6, input, X), "& --BSR AG4 IO_AG4. Scan Cell 4 " 12 (BC_1, IO_AG4, output3, X, 13, 0, Z), "& " 13 (BC_1, *, control, 0), "& " 14 (BC_1, IO_AG4, input, X), "& --BSR AG3 IO_AG3. Scan Cell 5 " 15 (BC_1, IO_AG3, output3, X, 16, 0, Z), "& " 16 (BC_1, *, control, 0), "& " 17 (BC_1, IO_AG3, input, X), "& --BSR AG5 IO_AG5. Scan Cell 6 " 18 (BC_1, IO_AG5, output3, X, 19, 0, Z), "& " 19 (BC_1, *, control, 0), "& " 20 (BC_1, IO_AG5, input, X), "& --BSR AG6 IO_AG6. Scan Cell 7 " 21 (BC_1, IO_AG6, output3, X, 22, 0, Z), "& " 22 (BC_1, *, control, 0), "& " 23 (BC_1, IO_AG6, input, X), "& --BSR AH3 IO_AH3. Scan Cell 8 " 24 (BC_1, IO_AH3, output3, X, 25, 0, Z), "& " 25 (BC_1, *, control, 0), "& " 26 (BC_1, IO_AH3, input, X), "& --BSR AJ2 IO_AJ2. Scan Cell 9 " 27 (BC_1, IO_AJ2, output3, X, 28, 0, Z), "& " 28 (BC_1, *, control, 0), "& " 29 (BC_1, IO_AJ2, input, X), "& --BSR AF7 IO_AF7. Scan Cell 10 " 30 (BC_1, IO_AF7, output3, X, 31, 0, Z), "& " 31 (BC_1, *, control, 0), "& " 32 (BC_1, IO_AF7, input, X), "& --BSR AG7 IO_AG7. Scan Cell 11 " 33 (BC_1, IO_AG7, output3, X, 34, 0, Z), "& " 34 (BC_1, *, control, 0), "& " 35 (BC_1, IO_AG7, input, X), "& --BSR AH5 IO_AH5. Scan Cell 12 " 36 (BC_1, IO_AH5, output3, X, 37, 0, Z), "& " 37 (BC_1, *, control, 0), "& " 38 (BC_1, IO_AH5, input, X), "& --BSR AH6 IO_AH6. Scan Cell 13 " 39 (BC_1, IO_AH6, output3, X, 40, 0, Z), "& " 40 (BC_1, *, control, 0), "& " 41 (BC_1, IO_AH6, input, X), "& --BSR AJ3 IO_AJ3. Scan Cell 14 " 42 (BC_1, IO_AJ3, output3, X, 43, 0, Z), "& " 43 (BC_1, *, control, 0), "& " 44 (BC_1, IO_AJ3, input, X), "& --BSR AJ4 IO_AJ4. Scan Cell 15 " 45 (BC_1, IO_AJ4, output3, X, 46, 0, Z), "& " 46 (BC_1, *, control, 0), "& " 47 (BC_1, IO_AJ4, input, X), "& --BSR AJ5 IO_AJ5. Scan Cell 16 " 48 (BC_1, IO_AJ5, output3, X, 49, 0, Z), "& " 49 (BC_1, *, control, 0), "& " 50 (BC_1, IO_AJ5, input, X), "& --BSR AJ6 IO_AJ6. Scan Cell 17 " 51 (BC_1, IO_AJ6, output3, X, 52, 0, Z), "& " 52 (BC_1, *, control, 0), "& " 53 (BC_1, IO_AJ6, input, X), "& --BSR AK8 IO_AK8. Scan Cell 18 " 54 (BC_1, IO_AK8, output3, X, 55, 0, Z), "& " 55 (BC_1, *, control, 0), "& " 56 (BC_1, IO_AK8, input, X), "& --BSR AJ8 IO_AJ8. Scan Cell 19 " 57 (BC_1, IO_AJ8, output3, X, 58, 0, Z), "& " 58 (BC_1, *, control, 0), "& " 59 (BC_1, IO_AJ8, input, X), "& --BSR AK10 IO_AK10. Scan Cell 20 " 60 (BC_1, IO_AK10, output3, X, 61, 0, Z), "& " 61 (BC_1, *, control, 0), "& " 62 (BC_1, IO_AK10, input, X), "& --BSR AJ10 IO_AJ10. Scan Cell 21 " 63 (BC_1, IO_AJ10, output3, X, 64, 0, Z), "& " 64 (BC_1, *, control, 0), "& " 65 (BC_1, IO_AJ10, input, X), "& --BSR AJ12 IO_AJ12. Scan Cell 22 " 66 (BC_1, IO_AJ12, output3, X, 67, 0, Z), "& " 67 (BC_1, *, control, 0), "& " 68 (BC_1, IO_AJ12, input, X), "& --BSR AK12 IO_AK12. Scan Cell 23 " 69 (BC_1, IO_AK12, output3, X, 70, 0, Z), "& " 70 (BC_1, *, control, 0), "& " 71 (BC_1, IO_AK12, input, X), "& --BSR AJ14 IO_AJ14. Scan Cell 24 " 72 (BC_1, IO_AJ14, output3, X, 73, 0, Z), "& " 73 (BC_1, *, control, 0), "& " 74 (BC_1, IO_AJ14, input, X), "& --BSR AK14 IO_AK14. Scan Cell 25 " 75 (BC_1, IO_AK14, output3, X, 76, 0, Z), "& " 76 (BC_1, *, control, 0), "& " 77 (BC_1, IO_AK14, input, X), "& --BSR AG16 IO_AG16. Scan Cell 26 " 78 (BC_1, IO_AG16, output3, X, 79, 0, Z), "& " 79 (BC_1, *, control, 0), "& " 80 (BC_1, IO_AG16, input, X), "& --BSR AF16 IO_AF16. Scan Cell 27 " 81 (BC_1, IO_AF16, output3, X, 82, 0, Z), "& " 82 (BC_1, *, control, 0), "& " 83 (BC_1, IO_AF16, input, X), "& --BSR AF17 IO_AF17. Scan Cell 28 " 84 (BC_1, IO_AF17, output3, X, 85, 0, Z), "& " 85 (BC_1, *, control, 0), "& " 86 (BC_1, IO_AF17, input, X), "& --BSR AE17 IO_AE17. Scan Cell 29 " 87 (BC_1, IO_AE17, output3, X, 88, 0, Z), "& " 88 (BC_1, *, control, 0), "& " 89 (BC_1, IO_AE17, input, X), "& --BSR AG18 IO_AG18. Scan Cell 30 " 90 (BC_1, IO_AG18, output3, X, 91, 0, Z), "& " 91 (BC_1, *, control, 0), "& " 92 (BC_1, IO_AG18, input, X), "& --BSR AF18 IO_AF18. Scan Cell 31 " 93 (BC_1, IO_AF18, output3, X, 94, 0, Z), "& " 94 (BC_1, *, control, 0), "& " 95 (BC_1, IO_AF18, input, X), "& --BSR AJ17 IO_AJ17. Scan Cell 32 " 96 (BC_1, IO_AJ17, output3, X, 97, 0, Z), "& " 97 (BC_1, *, control, 0), "& " 98 (BC_1, IO_AJ17, input, X), "& --BSR AH17 IO_AH17. Scan Cell 33 " 99 (BC_1, IO_AH17, output3, X, 100, 0, Z), "& " 100 (BC_1, *, control, 0), "& " 101 (BC_1, IO_AH17, input, X), "& --BSR AK20 IO_AK20. Scan Cell 34 " 102 (BC_1, IO_AK20, output3, X, 103, 0, Z), "& " 103 (BC_1, *, control, 0), "& " 104 (BC_1, IO_AK20, input, X), "& --BSR AJ20 IO_AJ20. Scan Cell 35 " 105 (BC_1, IO_AJ20, output3, X, 106, 0, Z), "& " 106 (BC_1, *, control, 0), "& " 107 (BC_1, IO_AJ20, input, X), "& --BSR AK22 IO_AK22. Scan Cell 36 " 108 (BC_1, IO_AK22, output3, X, 109, 0, Z), "& " 109 (BC_1, *, control, 0), "& " 110 (BC_1, IO_AK22, input, X), "& --BSR AJ22 IO_AJ22. Scan Cell 37 " 111 (BC_1, IO_AJ22, output3, X, 112, 0, Z), "& " 112 (BC_1, *, control, 0), "& " 113 (BC_1, IO_AJ22, input, X), "& --BSR AK24 IO_AK24. Scan Cell 38 " 114 (BC_1, IO_AK24, output3, X, 115, 0, Z), "& " 115 (BC_1, *, control, 0), "& " 116 (BC_1, IO_AK24, input, X), "& --BSR AJ24 IO_AJ24. Scan Cell 39 " 117 (BC_1, IO_AJ24, output3, X, 118, 0, Z), "& " 118 (BC_1, *, control, 0), "& " 119 (BC_1, IO_AJ24, input, X), "& --BSR AK26 IO_AK26. Scan Cell 40 " 120 (BC_1, IO_AK26, output3, X, 121, 0, Z), "& " 121 (BC_1, *, control, 0), "& " 122 (BC_1, IO_AK26, input, X), "& --BSR AJ26 IO_AJ26. Scan Cell 41 " 123 (BC_1, IO_AJ26, output3, X, 124, 0, Z), "& " 124 (BC_1, *, control, 0), "& " 125 (BC_1, IO_AJ26, input, X), "& --BSR AJ28 IO_AJ28. Scan Cell 42 " 126 (BC_1, IO_AJ28, output3, X, 127, 0, Z), "& " 127 (BC_1, *, control, 0), "& " 128 (BC_1, IO_AJ28, input, X), "& --BSR AJ29 IO_AJ29. Scan Cell 43 " 129 (BC_1, IO_AJ29, output3, X, 130, 0, Z), "& " 130 (BC_1, *, control, 0), "& " 131 (BC_1, IO_AJ29, input, X), "& --BSR AG27 IO_AG27. Scan Cell 44 " 132 (BC_1, IO_AG27, output3, X, 133, 0, Z), "& " 133 (BC_1, *, control, 0), "& " 134 (BC_1, IO_AG27, input, X), "& --BSR AH28 IO_AH28. Scan Cell 45 " 135 (BC_1, IO_AH28, output3, X, 136, 0, Z), "& " 136 (BC_1, *, control, 0), "& " 137 (BC_1, IO_AH28, input, X), "& --BSR AJ30 IO_AJ30. Scan Cell 46 " 138 (BC_1, IO_AJ30, output3, X, 139, 0, Z), "& " 139 (BC_1, *, control, 0), "& " 140 (BC_1, IO_AJ30, input, X), "& --BSR AH29 IO_AH29. Scan Cell 47 " 141 (BC_1, IO_AH29, output3, X, 142, 0, Z), "& " 142 (BC_1, *, control, 0), "& " 143 (BC_1, IO_AH29, input, X), "& --BSR AH30 IO_AH30. Scan Cell 48 " 144 (BC_1, IO_AH30, output3, X, 145, 0, Z), "& " 145 (BC_1, *, control, 0), "& " 146 (BC_1, IO_AH30, input, X), "& --BSR AH31 IO_AH31. Scan Cell 49 " 147 (BC_1, IO_AH31, output3, X, 148, 0, Z), "& " 148 (BC_1, *, control, 0), "& " 149 (BC_1, IO_AH31, input, X), "& --BSR AJ32 IO_AJ32. Scan Cell 50 " 150 (BC_1, IO_AJ32, output3, X, 151, 0, Z), "& " 151 (BC_1, *, control, 0), "& " 152 (BC_1, IO_AJ32, input, X), "& --BSR AH32 IO_AH32. Scan Cell 51 " 153 (BC_1, IO_AH32, output3, X, 154, 0, Z), "& " 154 (BC_1, *, control, 0), "& " 155 (BC_1, IO_AH32, input, X), "& --BSR AG28 IO_AG28. Scan Cell 52 " 156 (BC_1, IO_AG28, output3, X, 157, 0, Z), "& " 157 (BC_1, *, control, 0), "& " 158 (BC_1, IO_AG28, input, X), "& --BSR AG29 IO_AG29. Scan Cell 53 " 159 (BC_1, IO_AG29, output3, X, 160, 0, Z), "& " 160 (BC_1, *, control, 0), "& " 161 (BC_1, IO_AG29, input, X), "& --BSR AJ33 IO_AJ33. Scan Cell 54 " 162 (BC_1, IO_AJ33, output3, X, 163, 0, Z), "& " 163 (BC_1, *, control, 0), "& " 164 (BC_1, IO_AJ33, input, X), "& --BSR AJ34 IO_AJ34. Scan Cell 55 " 165 (BC_1, IO_AJ34, output3, X, 166, 0, Z), "& " 166 (BC_1, *, control, 0), "& " 167 (BC_1, IO_AJ34, input, X), "& --BSR AD24 IO_AD24. Scan Cell 56 " 168 (BC_1, IO_AD24, output3, X, 169, 0, Z), "& " 169 (BC_1, *, control, 0), "& " 170 (BC_1, IO_AD24, input, X), "& --BSR AD25 IO_AD25. Scan Cell 57 " 171 (BC_1, IO_AD25, output3, X, 172, 0, Z), "& " 172 (BC_1, *, control, 0), "& " 173 (BC_1, IO_AD25, input, X), "& --BSR AG30 IO_AG30. Scan Cell 58 " 174 (BC_1, IO_AG30, output3, X, 175, 0, Z), "& " 175 (BC_1, *, control, 0), "& " 176 (BC_1, IO_AG30, input, X), "& --BSR AF29 IO_AF29. Scan Cell 59 " 177 (BC_1, IO_AF29, output3, X, 178, 0, Z), "& " 178 (BC_1, *, control, 0), "& " 179 (BC_1, IO_AF29, input, X), "& --BSR AE26 IO_AE26. Scan Cell 60 " 180 (BC_1, IO_AE26, output3, X, 181, 0, Z), "& " 181 (BC_1, *, control, 0), "& " 182 (BC_1, IO_AE26, input, X), "& --BSR AD26 IO_AD26. Scan Cell 61 " 183 (BC_1, IO_AD26, output3, X, 184, 0, Z), "& " 184 (BC_1, *, control, 0), "& " 185 (BC_1, IO_AD26, input, X), "& --BSR AC24 IO_AC24. Scan Cell 62 " 186 (BC_1, IO_AC24, output3, X, 187, 0, Z), "& " 187 (BC_1, *, control, 0), "& " 188 (BC_1, IO_AC24, input, X), "& --BSR AC23 IO_AC23. Scan Cell 63 " 189 (BC_1, IO_AC23, output3, X, 190, 0, Z), "& " 190 (BC_1, *, control, 0), "& " 191 (BC_1, IO_AC23, input, X), "& --BSR AF27 IO_AF27. Scan Cell 64 " 192 (BC_1, IO_AF27, output3, X, 193, 0, Z), "& " 193 (BC_1, *, control, 0), "& " 194 (BC_1, IO_AF27, input, X), "& --BSR AE27 IO_AE27. Scan Cell 65 " 195 (BC_1, IO_AE27, output3, X, 196, 0, Z), "& " 196 (BC_1, *, control, 0), "& " 197 (BC_1, IO_AE27, input, X), "& --BSR AF28 IO_AF28. Scan Cell 66 " 198 (BC_1, IO_AF28, output3, X, 199, 0, Z), "& " 199 (BC_1, *, control, 0), "& " 200 (BC_1, IO_AF28, input, X), "& --BSR AE28 IO_AE28. Scan Cell 67 " 201 (BC_1, IO_AE28, output3, X, 202, 0, Z), "& " 202 (BC_1, *, control, 0), "& " 203 (BC_1, IO_AE28, input, X), "& --BSR AH33 IO_AH33. Scan Cell 68 " 204 (BC_1, IO_AH33, output3, X, 205, 0, Z), "& " 205 (BC_1, *, control, 0), "& " 206 (BC_1, IO_AH33, input, X), "& --BSR AH34 IO_AH34. Scan Cell 69 " 207 (BC_1, IO_AH34, output3, X, 208, 0, Z), "& " 208 (BC_1, *, control, 0), "& " 209 (BC_1, IO_AH34, input, X), "& --BSR AF30 IO_AF30. Scan Cell 70 " 210 (BC_1, IO_AF30, output3, X, 211, 0, Z), "& " 211 (BC_1, *, control, 0), "& " 212 (BC_1, IO_AF30, input, X), "& --BSR AG31 IO_AG31. Scan Cell 71 " 213 (BC_1, IO_AG31, output3, X, 214, 0, Z), "& " 214 (BC_1, *, control, 0), "& " 215 (BC_1, IO_AG31, input, X), "& --BSR AC25 IO_AC25. Scan Cell 72 " 216 (BC_1, IO_AC25, output3, X, 217, 0, Z), "& " 217 (BC_1, *, control, 0), "& " 218 (BC_1, IO_AC25, input, X), "& --BSR AC26 IO_AC26. Scan Cell 73 " 219 (BC_1, IO_AC26, output3, X, 220, 0, Z), "& " 220 (BC_1, *, control, 0), "& " 221 (BC_1, IO_AC26, input, X), "& --BSR AG32 IO_AG32. Scan Cell 74 " 222 (BC_1, IO_AG32, output3, X, 223, 0, Z), "& " 223 (BC_1, *, control, 0), "& " 224 (BC_1, IO_AG32, input, X), "& --BSR AF32 IO_AF32. Scan Cell 75 " 225 (BC_1, IO_AF32, output3, X, 226, 0, Z), "& " 226 (BC_1, *, control, 0), "& " 227 (BC_1, IO_AF32, input, X), "& --BSR AB24 IO_AB24. Scan Cell 76 " 228 (BC_1, IO_AB24, output3, X, 229, 0, Z), "& " 229 (BC_1, *, control, 0), "& " 230 (BC_1, IO_AB24, input, X), "& --BSR AB23 IO_AB23. Scan Cell 77 " 231 (BC_1, IO_AB23, output3, X, 232, 0, Z), "& " 232 (BC_1, *, control, 0), "& " 233 (BC_1, IO_AB23, input, X), "& --BSR AE30 IO_AE30. Scan Cell 78 " 234 (BC_1, IO_AE30, output3, X, 235, 0, Z), "& " 235 (BC_1, *, control, 0), "& " 236 (BC_1, IO_AE30, input, X), "& --BSR AD30 IO_AD30. Scan Cell 79 " 237 (BC_1, IO_AD30, output3, X, 238, 0, Z), "& " 238 (BC_1, *, control, 0), "& " 239 (BC_1, IO_AD30, input, X), "& --BSR AD28 IO_AD28. Scan Cell 80 " 240 (BC_1, IO_AD28, output3, X, 241, 0, Z), "& " 241 (BC_1, *, control, 0), "& " 242 (BC_1, IO_AD28, input, X), "& --BSR AD29 IO_AD29. Scan Cell 81 " 243 (BC_1, IO_AD29, output3, X, 244, 0, Z), "& " 244 (BC_1, *, control, 0), "& " 245 (BC_1, IO_AD29, input, X), "& --BSR AF33 IO_AF33. Scan Cell 82 " 246 (BC_1, IO_AF33, output3, X, 247, 0, Z), "& " 247 (BC_1, *, control, 0), "& " 248 (BC_1, IO_AF33, input, X), "& --BSR AE33 IO_AE33. Scan Cell 83 " 249 (BC_1, IO_AE33, output3, X, 250, 0, Z), "& " 250 (BC_1, *, control, 0), "& " 251 (BC_1, IO_AE33, input, X), "& --BSR AE31 IO_AE31. Scan Cell 84 " 252 (BC_1, IO_AE31, output3, X, 253, 0, Z), "& " 253 (BC_1, *, control, 0), "& " 254 (BC_1, IO_AE31, input, X), "& --BSR AE32 IO_AE32. Scan Cell 85 " 255 (BC_1, IO_AE32, output3, X, 256, 0, Z), "& " 256 (BC_1, *, control, 0), "& " 257 (BC_1, IO_AE32, input, X), "& --BSR AB25 IO_AB25. Scan Cell 86 " 258 (BC_1, IO_AB25, output3, X, 259, 0, Z), "& " 259 (BC_1, *, control, 0), "& " 260 (BC_1, IO_AB25, input, X), "& --BSR AB26 IO_AB26. Scan Cell 87 " 261 (BC_1, IO_AB26, output3, X, 262, 0, Z), "& " 262 (BC_1, *, control, 0), "& " 263 (BC_1, IO_AB26, input, X), "& --BSR AC27 IO_AC27. Scan Cell 88 " 264 (BC_1, IO_AC27, output3, X, 265, 0, Z), "& " 265 (BC_1, *, control, 0), "& " 266 (BC_1, IO_AC27, input, X), "& --BSR AB27 IO_AB27. Scan Cell 89 " 267 (BC_1, IO_AB27, output3, X, 268, 0, Z), "& " 268 (BC_1, *, control, 0), "& " 269 (BC_1, IO_AB27, input, X), "& --BSR AG34 IO_AG34. Scan Cell 90 " 270 (BC_1, IO_AG34, output3, X, 271, 0, Z), "& " 271 (BC_1, *, control, 0), "& " 272 (BC_1, IO_AG34, input, X), "& --BSR AF34 IO_AF34. Scan Cell 91 " 273 (BC_1, IO_AF34, output3, X, 274, 0, Z), "& " 274 (BC_1, *, control, 0), "& " 275 (BC_1, IO_AF34, input, X), "& --BSR AC28 IO_AC28. Scan Cell 92 " 276 (BC_1, IO_AC28, output3, X, 277, 0, Z), "& " 277 (BC_1, *, control, 0), "& " 278 (BC_1, IO_AC28, input, X), "& --BSR AC29 IO_AC29. Scan Cell 93 " 279 (BC_1, IO_AC29, output3, X, 280, 0, Z), "& " 280 (BC_1, *, control, 0), "& " 281 (BC_1, IO_AC29, input, X), "& --BSR AB29 IO_AB29. Scan Cell 94 " 282 (BC_1, IO_AB29, output3, X, 283, 0, Z), "& " 283 (BC_1, *, control, 0), "& " 284 (BC_1, IO_AB29, input, X), "& --BSR AB30 IO_AB30. Scan Cell 95 " 285 (BC_1, IO_AB30, output3, X, 286, 0, Z), "& " 286 (BC_1, *, control, 0), "& " 287 (BC_1, IO_AB30, input, X), "& --BSR AA25 IO_AA25. Scan Cell 96 " 288 (BC_1, IO_AA25, output3, X, 289, 0, Z), "& " 289 (BC_1, *, control, 0), "& " 290 (BC_1, IO_AA25, input, X), "& --BSR Y25 IO_Y25. Scan Cell 97 " 291 (BC_1, IO_Y25, output3, X, 292, 0, Z), "& " 292 (BC_1, *, control, 0), "& " 293 (BC_1, IO_Y25, input, X), "& --BSR AD31 IO_AD31. Scan Cell 98 " 294 (BC_1, IO_AD31, output3, X, 295, 0, Z), "& " 295 (BC_1, *, control, 0), "& " 296 (BC_1, IO_AD31, input, X), "& --BSR AC31 IO_AC31. Scan Cell 99 " 297 (BC_1, IO_AC31, output3, X, 298, 0, Z), "& " 298 (BC_1, *, control, 0), "& " 299 (BC_1, IO_AC31, input, X), "& --BSR AD33 IO_AD33. Scan Cell 100 " 300 (BC_1, IO_AD33, output3, X, 301, 0, Z), "& " 301 (BC_1, *, control, 0), "& " 302 (BC_1, IO_AD33, input, X), "& --BSR AD34 IO_AD34. Scan Cell 101 " 303 (BC_1, IO_AD34, output3, X, 304, 0, Z), "& " 304 (BC_1, *, control, 0), "& " 305 (BC_1, IO_AD34, input, X), "& --BSR AA27 IO_AA27. Scan Cell 102 " 306 (BC_1, IO_AA27, output3, X, 307, 0, Z), "& " 307 (BC_1, *, control, 0), "& " 308 (BC_1, IO_AA27, input, X), "& --BSR AA28 IO_AA28. Scan Cell 103 " 309 (BC_1, IO_AA28, output3, X, 310, 0, Z), "& " 310 (BC_1, *, control, 0), "& " 311 (BC_1, IO_AA28, input, X), "& --BSR AA29 IO_AA29. Scan Cell 104 " 312 (BC_1, IO_AA29, output3, X, 313, 0, Z), "& " 313 (BC_1, *, control, 0), "& " 314 (BC_1, IO_AA29, input, X), "& --BSR AA30 IO_AA30. Scan Cell 105 " 315 (BC_1, IO_AA30, output3, X, 316, 0, Z), "& " 316 (BC_1, *, control, 0), "& " 317 (BC_1, IO_AA30, input, X), "& --BSR AB31 IO_AB31. Scan Cell 106 " 318 (BC_1, IO_AB31, output3, X, 319, 0, Z), "& " 319 (BC_1, *, control, 0), "& " 320 (BC_1, IO_AB31, input, X), "& --BSR AB32 IO_AB32. Scan Cell 107 " 321 (BC_1, IO_AB32, output3, X, 322, 0, Z), "& " 322 (BC_1, *, control, 0), "& " 323 (BC_1, IO_AB32, input, X), "& --BSR Y26 IO_Y26. Scan Cell 108 " 324 (BC_1, IO_Y26, output3, X, 325, 0, Z), "& " 325 (BC_1, *, control, 0), "& " 326 (BC_1, IO_Y26, input, X), "& --BSR Y27 IO_Y27. Scan Cell 109 " 327 (BC_1, IO_Y27, output3, X, 328, 0, Z), "& " 328 (BC_1, *, control, 0), "& " 329 (BC_1, IO_Y27, input, X), "& --BSR Y23 IO_Y23. Scan Cell 110 " 330 (BC_1, IO_Y23, output3, X, 331, 0, Z), "& " 331 (BC_1, *, control, 0), "& " 332 (BC_1, IO_Y23, input, X), "& --BSR Y24 IO_Y24. Scan Cell 111 " 333 (BC_1, IO_Y24, output3, X, 334, 0, Z), "& " 334 (BC_1, *, control, 0), "& " 335 (BC_1, IO_Y24, input, X), "& --BSR AC32 IO_AC32. Scan Cell 112 " 336 (BC_1, IO_AC32, output3, X, 337, 0, Z), "& " 337 (BC_1, *, control, 0), "& " 338 (BC_1, IO_AC32, input, X), "& --BSR AC33 IO_AC33. Scan Cell 113 " 339 (BC_1, IO_AC33, output3, X, 340, 0, Z), "& " 340 (BC_1, *, control, 0), "& " 341 (BC_1, IO_AC33, input, X), "& --BSR AC34 IO_AC34. Scan Cell 114 " 342 (BC_1, IO_AC34, output3, X, 343, 0, Z), "& " 343 (BC_1, *, control, 0), "& " 344 (BC_1, IO_AC34, input, X), "& --BSR AB34 IO_AB34. Scan Cell 115 " 345 (BC_1, IO_AB34, output3, X, 346, 0, Z), "& " 346 (BC_1, *, control, 0), "& " 347 (BC_1, IO_AB34, input, X), "& --BSR Y28 IO_Y28. Scan Cell 116 " 348 (BC_1, IO_Y28, output3, X, 349, 0, Z), "& " 349 (BC_1, *, control, 0), "& " 350 (BC_1, IO_Y28, input, X), "& --BSR W28 IO_W28. Scan Cell 117 " 351 (BC_1, IO_W28, output3, X, 352, 0, Z), "& " 352 (BC_1, *, control, 0), "& " 353 (BC_1, IO_W28, input, X), "& --BSR Y30 IO_Y30. Scan Cell 118 " 354 (BC_1, IO_Y30, output3, X, 355, 0, Z), "& " 355 (BC_1, *, control, 0), "& " 356 (BC_1, IO_Y30, input, X), "& --BSR Y31 IO_Y31. Scan Cell 119 " 357 (BC_1, IO_Y31, output3, X, 358, 0, Z), "& " 358 (BC_1, *, control, 0), "& " 359 (BC_1, IO_Y31, input, X), "& --BSR W26 IO_W26. Scan Cell 120 " 360 (BC_1, IO_W26, output3, X, 361, 0, Z), "& " 361 (BC_1, *, control, 0), "& " 362 (BC_1, IO_W26, input, X), "& --BSR W25 IO_W25. Scan Cell 121 " 363 (BC_1, IO_W25, output3, X, 364, 0, Z), "& " 364 (BC_1, *, control, 0), "& " 365 (BC_1, IO_W25, input, X), "& --BSR AA32 IO_AA32. Scan Cell 122 " 366 (BC_1, IO_AA32, output3, X, 367, 0, Z), "& " 367 (BC_1, *, control, 0), "& " 368 (BC_1, IO_AA32, input, X), "& --BSR Y32 IO_Y32. Scan Cell 123 " 369 (BC_1, IO_Y32, output3, X, 370, 0, Z), "& " 370 (BC_1, *, control, 0), "& " 371 (BC_1, IO_Y32, input, X), "& --BSR W23 IO_W23. Scan Cell 124 " 372 (BC_1, IO_W23, output3, X, 373, 0, Z), "& " 373 (BC_1, *, control, 0), "& " 374 (BC_1, IO_W23, input, X), "& --BSR W24 IO_W24. Scan Cell 125 " 375 (BC_1, IO_W24, output3, X, 376, 0, Z), "& " 376 (BC_1, *, control, 0), "& " 377 (BC_1, IO_W24, input, X), "& --BSR W29 IO_W29. Scan Cell 126 " 378 (BC_1, IO_W29, output3, X, 379, 0, Z), "& " 379 (BC_1, *, control, 0), "& " 380 (BC_1, IO_W29, input, X), "& --BSR W30 IO_W30. Scan Cell 127 " 381 (BC_1, IO_W30, output3, X, 382, 0, Z), "& " 382 (BC_1, *, control, 0), "& " 383 (BC_1, IO_W30, input, X), "& --BSR AA33 IO_AA33. Scan Cell 128 " 384 (BC_1, IO_AA33, output3, X, 385, 0, Z), "& " 385 (BC_1, *, control, 0), "& " 386 (BC_1, IO_AA33, input, X), "& --BSR AA34 IO_AA34. Scan Cell 129 " 387 (BC_1, IO_AA34, output3, X, 388, 0, Z), "& " 388 (BC_1, *, control, 0), "& " 389 (BC_1, IO_AA34, input, X), "& --BSR Y33 IO_Y33. Scan Cell 130 " 390 (BC_1, IO_Y33, output3, X, 391, 0, Z), "& " 391 (BC_1, *, control, 0), "& " 392 (BC_1, IO_Y33, input, X), "& --BSR W33 IO_W33. Scan Cell 131 " 393 (BC_1, IO_W33, output3, X, 394, 0, Z), "& " 394 (BC_1, *, control, 0), "& " 395 (BC_1, IO_W33, input, X), "& --BSR V29 IO_V29. Scan Cell 132 " 396 (BC_1, IO_V29, output3, X, 397, 0, Z), "& " 397 (BC_1, *, control, 0), "& " 398 (BC_1, IO_V29, input, X), "& --BSR V28 IO_V28. Scan Cell 133 " 399 (BC_1, IO_V28, output3, X, 400, 0, Z), "& " 400 (BC_1, *, control, 0), "& " 401 (BC_1, IO_V28, input, X), "& --BSR V27 IO_V27. Scan Cell 134 " 402 (BC_1, IO_V27, output3, X, 403, 0, Z), "& " 403 (BC_1, *, control, 0), "& " 404 (BC_1, IO_V27, input, X), "& --BSR V26 IO_V26. Scan Cell 135 " 405 (BC_1, IO_V26, output3, X, 406, 0, Z), "& " 406 (BC_1, *, control, 0), "& " 407 (BC_1, IO_V26, input, X), "& --BSR W31 IO_W31. Scan Cell 136 " 408 (BC_1, IO_W31, output3, X, 409, 0, Z), "& " 409 (BC_1, *, control, 0), "& " 410 (BC_1, IO_W31, input, X), "& --BSR V31 IO_V31. Scan Cell 137 " 411 (BC_1, IO_V31, output3, X, 412, 0, Z), "& " 412 (BC_1, *, control, 0), "& " 413 (BC_1, IO_V31, input, X), "& --BSR V23 IO_V23. Scan Cell 138 " 414 (BC_1, IO_V23, output3, X, 415, 0, Z), "& " 415 (BC_1, *, control, 0), "& " 416 (BC_1, IO_V23, input, X), "& --BSR V24 IO_V24. Scan Cell 139 " 417 (BC_1, IO_V24, output3, X, 418, 0, Z), "& " 418 (BC_1, *, control, 0), "& " 419 (BC_1, IO_V24, input, X), "& --BSR W34 IO_W34. Scan Cell 140 " 420 (BC_1, IO_W34, output3, X, 421, 0, Z), "& " 421 (BC_1, *, control, 0), "& " 422 (BC_1, IO_W34, input, X), "& --BSR V34 IO_V34. Scan Cell 141 " 423 (BC_1, IO_V34, output3, X, 424, 0, Z), "& " 424 (BC_1, *, control, 0), "& " 425 (BC_1, IO_V34, input, X), "& --BSR V32 IO_V32. Scan Cell 142 " 426 (BC_1, IO_V32, output3, X, 427, 0, Z), "& " 427 (BC_1, *, control, 0), "& " 428 (BC_1, IO_V32, input, X), "& --BSR V33 IO_V33. Scan Cell 143 " 429 (BC_1, IO_V33, output3, X, 430, 0, Z), "& " 430 (BC_1, *, control, 0), "& " 431 (BC_1, IO_V33, input, X), "& --BSR U34 IO_U34. Scan Cell 144 " 432 (BC_1, IO_U34, output3, X, 433, 0, Z), "& " 433 (BC_1, *, control, 0), "& " 434 (BC_1, IO_U34, input, X), "& --BSR T34 IO_T34. Scan Cell 145 " 435 (BC_1, IO_T34, output3, X, 436, 0, Z), "& " 436 (BC_1, *, control, 0), "& " 437 (BC_1, IO_T34, input, X), "& --BSR U27 IO_U27. Scan Cell 146 " 438 (BC_1, IO_U27, output3, X, 439, 0, Z), "& " 439 (BC_1, *, control, 0), "& " 440 (BC_1, IO_U27, input, X), "& --BSR U26 IO_U26. Scan Cell 147 " 441 (BC_1, IO_U26, output3, X, 442, 0, Z), "& " 442 (BC_1, *, control, 0), "& " 443 (BC_1, IO_U26, input, X), "& --BSR U29 IO_U29. Scan Cell 148 " 444 (BC_1, IO_U29, output3, X, 445, 0, Z), "& " 445 (BC_1, *, control, 0), "& " 446 (BC_1, IO_U29, input, X), "& --BSR U30 IO_U30. Scan Cell 149 " 447 (BC_1, IO_U30, output3, X, 448, 0, Z), "& " 448 (BC_1, *, control, 0), "& " 449 (BC_1, IO_U30, input, X), "& --BSR U23 IO_U23. Scan Cell 150 " 450 (BC_1, IO_U23, output3, X, 451, 0, Z), "& " 451 (BC_1, *, control, 0), "& " 452 (BC_1, IO_U23, input, X), "& --BSR U24 IO_U24. Scan Cell 151 " 453 (BC_1, IO_U24, output3, X, 454, 0, Z), "& " 454 (BC_1, *, control, 0), "& " 455 (BC_1, IO_U24, input, X), "& --BSR U31 IO_U31. Scan Cell 152 " 456 (BC_1, IO_U31, output3, X, 457, 0, Z), "& " 457 (BC_1, *, control, 0), "& " 458 (BC_1, IO_U31, input, X), "& --BSR U32 IO_U32. Scan Cell 153 " 459 (BC_1, IO_U32, output3, X, 460, 0, Z), "& " 460 (BC_1, *, control, 0), "& " 461 (BC_1, IO_U32, input, X), "& --BSR T33 IO_T33. Scan Cell 154 " 462 (BC_1, IO_T33, output3, X, 463, 0, Z), "& " 463 (BC_1, *, control, 0), "& " 464 (BC_1, IO_T33, input, X), "& --BSR T32 IO_T32. Scan Cell 155 " 465 (BC_1, IO_T32, output3, X, 466, 0, Z), "& " 466 (BC_1, *, control, 0), "& " 467 (BC_1, IO_T32, input, X), "& --BSR U25 IO_U25. Scan Cell 156 " 468 (BC_1, IO_U25, output3, X, 469, 0, Z), "& " 469 (BC_1, *, control, 0), "& " 470 (BC_1, IO_U25, input, X), "& --BSR T25 IO_T25. Scan Cell 157 " 471 (BC_1, IO_T25, output3, X, 472, 0, Z), "& " 472 (BC_1, *, control, 0), "& " 473 (BC_1, IO_T25, input, X), "& --BSR T30 IO_T30. Scan Cell 158 " 474 (BC_1, IO_T30, output3, X, 475, 0, Z), "& " 475 (BC_1, *, control, 0), "& " 476 (BC_1, IO_T30, input, X), "& --BSR T29 IO_T29. Scan Cell 159 " 477 (BC_1, IO_T29, output3, X, 478, 0, Z), "& " 478 (BC_1, *, control, 0), "& " 479 (BC_1, IO_T29, input, X), "& --BSR T28 IO_T28. Scan Cell 160 " 480 (BC_1, IO_T28, output3, X, 481, 0, Z), "& " 481 (BC_1, *, control, 0), "& " 482 (BC_1, IO_T28, input, X), "& --BSR T27 IO_T27. Scan Cell 161 " 483 (BC_1, IO_T27, output3, X, 484, 0, Z), "& " 484 (BC_1, *, control, 0), "& " 485 (BC_1, IO_T27, input, X), "& --BSR P34 IO_P34. Scan Cell 162 " 486 (BC_1, IO_P34, output3, X, 487, 0, Z), "& " 487 (BC_1, *, control, 0), "& " 488 (BC_1, IO_P34, input, X), "& --BSR N34 IO_N34. Scan Cell 163 " 489 (BC_1, IO_N34, output3, X, 490, 0, Z), "& " 490 (BC_1, *, control, 0), "& " 491 (BC_1, IO_N34, input, X), "& --BSR R33 IO_R33. Scan Cell 164 " 492 (BC_1, IO_R33, output3, X, 493, 0, Z), "& " 493 (BC_1, *, control, 0), "& " 494 (BC_1, IO_R33, input, X), "& --BSR R32 IO_R32. Scan Cell 165 " 495 (BC_1, IO_R32, output3, X, 496, 0, Z), "& " 496 (BC_1, *, control, 0), "& " 497 (BC_1, IO_R32, input, X), "& --BSR T23 IO_T23. Scan Cell 166 " 498 (BC_1, IO_T23, output3, X, 499, 0, Z), "& " 499 (BC_1, *, control, 0), "& " 500 (BC_1, IO_T23, input, X), "& --BSR T24 IO_T24. Scan Cell 167 " 501 (BC_1, IO_T24, output3, X, 502, 0, Z), "& " 502 (BC_1, *, control, 0), "& " 503 (BC_1, IO_T24, input, X), "& --BSR R31 IO_R31. Scan Cell 168 " 504 (BC_1, IO_R31, output3, X, 505, 0, Z), "& " 505 (BC_1, *, control, 0), "& " 506 (BC_1, IO_R31, input, X), "& --BSR R30 IO_R30. Scan Cell 169 " 507 (BC_1, IO_R30, output3, X, 508, 0, Z), "& " 508 (BC_1, *, control, 0), "& " 509 (BC_1, IO_R30, input, X), "& --BSR R28 IO_R28. Scan Cell 170 " 510 (BC_1, IO_R28, output3, X, 511, 0, Z), "& " 511 (BC_1, *, control, 0), "& " 512 (BC_1, IO_R28, input, X), "& --BSR R27 IO_R27. Scan Cell 171 " 513 (BC_1, IO_R27, output3, X, 514, 0, Z), "& " 514 (BC_1, *, control, 0), "& " 515 (BC_1, IO_R27, input, X), "& --BSR P33 IO_P33. Scan Cell 172 " 516 (BC_1, IO_P33, output3, X, 517, 0, Z), "& " 517 (BC_1, *, control, 0), "& " 518 (BC_1, IO_P33, input, X), "& --BSR N33 IO_N33. Scan Cell 173 " 519 (BC_1, IO_N33, output3, X, 520, 0, Z), "& " 520 (BC_1, *, control, 0), "& " 521 (BC_1, IO_N33, input, X), "& --BSR R26 IO_R26. Scan Cell 174 " 522 (BC_1, IO_R26, output3, X, 523, 0, Z), "& " 523 (BC_1, *, control, 0), "& " 524 (BC_1, IO_R26, input, X), "& --BSR R25 IO_R25. Scan Cell 175 " 525 (BC_1, IO_R25, output3, X, 526, 0, Z), "& " 526 (BC_1, *, control, 0), "& " 527 (BC_1, IO_R25, input, X), "& --BSR N32 IO_N32. Scan Cell 176 " 528 (BC_1, IO_N32, output3, X, 529, 0, Z), "& " 529 (BC_1, *, control, 0), "& " 530 (BC_1, IO_N32, input, X), "& --BSR N31 IO_N31. Scan Cell 177 " 531 (BC_1, IO_N31, output3, X, 532, 0, Z), "& " 532 (BC_1, *, control, 0), "& " 533 (BC_1, IO_N31, input, X), "& --BSR R23 IO_R23. Scan Cell 178 " 534 (BC_1, IO_R23, output3, X, 535, 0, Z), "& " 535 (BC_1, *, control, 0), "& " 536 (BC_1, IO_R23, input, X), "& --BSR R24 IO_R24. Scan Cell 179 " 537 (BC_1, IO_R24, output3, X, 538, 0, Z), "& " 538 (BC_1, *, control, 0), "& " 539 (BC_1, IO_R24, input, X), "& --BSR P31 IO_P31. Scan Cell 180 " 540 (BC_1, IO_P31, output3, X, 541, 0, Z), "& " 541 (BC_1, *, control, 0), "& " 542 (BC_1, IO_P31, input, X), "& --BSR P30 IO_P30. Scan Cell 181 " 543 (BC_1, IO_P30, output3, X, 544, 0, Z), "& " 544 (BC_1, *, control, 0), "& " 545 (BC_1, IO_P30, input, X), "& --BSR P29 IO_P29. Scan Cell 182 " 546 (BC_1, IO_P29, output3, X, 547, 0, Z), "& " 547 (BC_1, *, control, 0), "& " 548 (BC_1, IO_P29, input, X), "& --BSR P28 IO_P28. Scan Cell 183 " 549 (BC_1, IO_P28, output3, X, 550, 0, Z), "& " 550 (BC_1, *, control, 0), "& " 551 (BC_1, IO_P28, input, X), "& --BSR M34 IO_M34. Scan Cell 184 " 552 (BC_1, IO_M34, output3, X, 553, 0, Z), "& " 553 (BC_1, *, control, 0), "& " 554 (BC_1, IO_M34, input, X), "& --BSR L34 IO_L34. Scan Cell 185 " 555 (BC_1, IO_L34, output3, X, 556, 0, Z), "& " 556 (BC_1, *, control, 0), "& " 557 (BC_1, IO_L34, input, X), "& --BSR H34 IO_H34. Scan Cell 186 " 558 (BC_1, IO_H34, output3, X, 559, 0, Z), "& " 559 (BC_1, *, control, 0), "& " 560 (BC_1, IO_H34, input, X), "& --BSR G34 IO_G34. Scan Cell 187 " 561 (BC_1, IO_G34, output3, X, 562, 0, Z), "& " 562 (BC_1, *, control, 0), "& " 563 (BC_1, IO_G34, input, X), "& --BSR P26 IO_P26. Scan Cell 188 " 564 (BC_1, IO_P26, output3, X, 565, 0, Z), "& " 565 (BC_1, *, control, 0), "& " 566 (BC_1, IO_P26, input, X), "& --BSR P25 IO_P25. Scan Cell 189 " 567 (BC_1, IO_P25, output3, X, 568, 0, Z), "& " 568 (BC_1, *, control, 0), "& " 569 (BC_1, IO_P25, input, X), "& --BSR J34 IO_J34. Scan Cell 190 " 570 (BC_1, IO_J34, output3, X, 571, 0, Z), "& " 571 (BC_1, *, control, 0), "& " 572 (BC_1, IO_J34, input, X), "& --BSR J33 IO_J33. Scan Cell 191 " 573 (BC_1, IO_J33, output3, X, 574, 0, Z), "& " 574 (BC_1, *, control, 0), "& " 575 (BC_1, IO_J33, input, X), "& --BSR N29 IO_N29. Scan Cell 192 " 576 (BC_1, IO_N29, output3, X, 577, 0, Z), "& " 577 (BC_1, *, control, 0), "& " 578 (BC_1, IO_N29, input, X), "& --BSR N28 IO_N28. Scan Cell 193 " 579 (BC_1, IO_N28, output3, X, 580, 0, Z), "& " 580 (BC_1, *, control, 0), "& " 581 (BC_1, IO_N28, input, X), "& --BSR P23 IO_P23. Scan Cell 194 " 582 (BC_1, IO_P23, output3, X, 583, 0, Z), "& " 583 (BC_1, *, control, 0), "& " 584 (BC_1, IO_P23, input, X), "& --BSR P24 IO_P24. Scan Cell 195 " 585 (BC_1, IO_P24, output3, X, 586, 0, Z), "& " 586 (BC_1, *, control, 0), "& " 587 (BC_1, IO_P24, input, X), "& --BSR M32 IO_M32. Scan Cell 196 " 588 (BC_1, IO_M32, output3, X, 589, 0, Z), "& " 589 (BC_1, *, control, 0), "& " 590 (BC_1, IO_M32, input, X), "& --BSR M31 IO_M31. Scan Cell 197 " 591 (BC_1, IO_M31, output3, X, 592, 0, Z), "& " 592 (BC_1, *, control, 0), "& " 593 (BC_1, IO_M31, input, X), "& --BSR L33 IO_L33. Scan Cell 198 " 594 (BC_1, IO_L33, output3, X, 595, 0, Z), "& " 595 (BC_1, *, control, 0), "& " 596 (BC_1, IO_L33, input, X), "& --BSR L32 IO_L32. Scan Cell 199 " 597 (BC_1, IO_L32, output3, X, 598, 0, Z), "& " 598 (BC_1, *, control, 0), "& " 599 (BC_1, IO_L32, input, X), "& --BSR N27 IO_N27. Scan Cell 200 " 600 (BC_1, IO_N27, output3, X, 601, 0, Z), "& " 601 (BC_1, *, control, 0), "& " 602 (BC_1, IO_N27, input, X), "& --BSR N26 IO_N26. Scan Cell 201 " 603 (BC_1, IO_N26, output3, X, 604, 0, Z), "& " 604 (BC_1, *, control, 0), "& " 605 (BC_1, IO_N26, input, X), "& --BSR M30 IO_M30. Scan Cell 202 " 606 (BC_1, IO_M30, output3, X, 607, 0, Z), "& " 607 (BC_1, *, control, 0), "& " 608 (BC_1, IO_M30, input, X), "& --BSR M29 IO_M29. Scan Cell 203 " 609 (BC_1, IO_M29, output3, X, 610, 0, Z), "& " 610 (BC_1, *, control, 0), "& " 611 (BC_1, IO_M29, input, X), "& --BSR K33 IO_K33. Scan Cell 204 " 612 (BC_1, IO_K33, output3, X, 613, 0, Z), "& " 613 (BC_1, *, control, 0), "& " 614 (BC_1, IO_K33, input, X), "& --BSR K32 IO_K32. Scan Cell 205 " 615 (BC_1, IO_K32, output3, X, 616, 0, Z), "& " 616 (BC_1, *, control, 0), "& " 617 (BC_1, IO_K32, input, X), "& --BSR N23 IO_N23. Scan Cell 206 " 618 (BC_1, IO_N23, output3, X, 619, 0, Z), "& " 619 (BC_1, *, control, 0), "& " 620 (BC_1, IO_N23, input, X), "& --BSR N24 IO_N24. Scan Cell 207 " 621 (BC_1, IO_N24, output3, X, 622, 0, Z), "& " 622 (BC_1, *, control, 0), "& " 623 (BC_1, IO_N24, input, X), "& --BSR F34 IO_F34. Scan Cell 208 " 624 (BC_1, IO_F34, output3, X, 625, 0, Z), "& " 625 (BC_1, *, control, 0), "& " 626 (BC_1, IO_F34, input, X), "& --BSR F33 IO_F33. Scan Cell 209 " 627 (BC_1, IO_F33, output3, X, 628, 0, Z), "& " 628 (BC_1, *, control, 0), "& " 629 (BC_1, IO_F33, input, X), "& --BSR H33 IO_H33. Scan Cell 210 " 630 (BC_1, IO_H33, output3, X, 631, 0, Z), "& " 631 (BC_1, *, control, 0), "& " 632 (BC_1, IO_H33, input, X), "& --BSR H32 IO_H32. Scan Cell 211 " 633 (BC_1, IO_H32, output3, X, 634, 0, Z), "& " 634 (BC_1, *, control, 0), "& " 635 (BC_1, IO_H32, input, X), "& --BSR M27 IO_M27. Scan Cell 212 " 636 (BC_1, IO_M27, output3, X, 637, 0, Z), "& " 637 (BC_1, *, control, 0), "& " 638 (BC_1, IO_M27, input, X), "& --BSR M26 IO_M26. Scan Cell 213 " 639 (BC_1, IO_M26, output3, X, 640, 0, Z), "& " 640 (BC_1, *, control, 0), "& " 641 (BC_1, IO_M26, input, X), "& --BSR L30 IO_L30. Scan Cell 214 " 642 (BC_1, IO_L30, output3, X, 643, 0, Z), "& " 643 (BC_1, *, control, 0), "& " 644 (BC_1, IO_L30, input, X), "& --BSR L29 IO_L29. Scan Cell 215 " 645 (BC_1, IO_L29, output3, X, 646, 0, Z), "& " 646 (BC_1, *, control, 0), "& " 647 (BC_1, IO_L29, input, X), "& --BSR M25 IO_M25. Scan Cell 216 " 648 (BC_1, IO_M25, output3, X, 649, 0, Z), "& " 649 (BC_1, *, control, 0), "& " 650 (BC_1, IO_M25, input, X), "& --BSR M24 IO_M24. Scan Cell 217 " 651 (BC_1, IO_M24, output3, X, 652, 0, Z), "& " 652 (BC_1, *, control, 0), "& " 653 (BC_1, IO_M24, input, X), "& --BSR D34 IO_D34. Scan Cell 218 " 654 (BC_1, IO_D34, output3, X, 655, 0, Z), "& " 655 (BC_1, *, control, 0), "& " 656 (BC_1, IO_D34, input, X), "& --BSR C34 IO_C34. Scan Cell 219 " 657 (BC_1, IO_C34, output3, X, 658, 0, Z), "& " 658 (BC_1, *, control, 0), "& " 659 (BC_1, IO_C34, input, X), "& --BSR K31 IO_K31. Scan Cell 220 " 660 (BC_1, IO_K31, output3, X, 661, 0, Z), "& " 661 (BC_1, *, control, 0), "& " 662 (BC_1, IO_K31, input, X), "& --BSR K30 IO_K30. Scan Cell 221 " 663 (BC_1, IO_K30, output3, X, 664, 0, Z), "& " 664 (BC_1, *, control, 0), "& " 665 (BC_1, IO_K30, input, X), "& --BSR L28 IO_L28. Scan Cell 222 " 666 (BC_1, IO_L28, output3, X, 667, 0, Z), "& " 667 (BC_1, *, control, 0), "& " 668 (BC_1, IO_L28, input, X), "& --BSR L27 IO_L27. Scan Cell 223 " 669 (BC_1, IO_L27, output3, X, 670, 0, Z), "& " 670 (BC_1, *, control, 0), "& " 671 (BC_1, IO_L27, input, X), "& --BSR J31 IO_J31. Scan Cell 224 " 672 (BC_1, IO_J31, output3, X, 673, 0, Z), "& " 673 (BC_1, *, control, 0), "& " 674 (BC_1, IO_J31, input, X), "& --BSR J30 IO_J30. Scan Cell 225 " 675 (BC_1, IO_J30, output3, X, 676, 0, Z), "& " 676 (BC_1, *, control, 0), "& " 677 (BC_1, IO_J30, input, X), "& --BSR E33 IO_E33. Scan Cell 226 " 678 (BC_1, IO_E33, output3, X, 679, 0, Z), "& " 679 (BC_1, *, control, 0), "& " 680 (BC_1, IO_E33, input, X), "& --BSR D33 IO_D33. Scan Cell 227 " 681 (BC_1, IO_D33, output3, X, 682, 0, Z), "& " 682 (BC_1, *, control, 0), "& " 683 (BC_1, IO_D33, input, X), "& --BSR H31 IO_H31. Scan Cell 228 " 684 (BC_1, IO_H31, output3, X, 685, 0, Z), "& " 685 (BC_1, *, control, 0), "& " 686 (BC_1, IO_H31, input, X), "& --BSR G31 IO_G31. Scan Cell 229 " 687 (BC_1, IO_G31, output3, X, 688, 0, Z), "& " 688 (BC_1, *, control, 0), "& " 689 (BC_1, IO_G31, input, X), "& --BSR L25 IO_L25. Scan Cell 230 " 690 (BC_1, IO_L25, output3, X, 691, 0, Z), "& " 691 (BC_1, *, control, 0), "& " 692 (BC_1, IO_L25, input, X), "& --BSR L26 IO_L26. Scan Cell 231 " 693 (BC_1, IO_L26, output3, X, 694, 0, Z), "& " 694 (BC_1, *, control, 0), "& " 695 (BC_1, IO_L26, input, X), "& --BSR K27 IO_K27. Scan Cell 232 " 696 (BC_1, IO_K27, output3, X, 697, 0, Z), "& " 697 (BC_1, *, control, 0), "& " 698 (BC_1, IO_K27, input, X), "& --BSR K28 IO_K28. Scan Cell 233 " 699 (BC_1, IO_K28, output3, X, 700, 0, Z), "& " 700 (BC_1, *, control, 0), "& " 701 (BC_1, IO_K28, input, X), "& --BSR F32 IO_F32. Scan Cell 234 " 702 (BC_1, IO_F32, output3, X, 703, 0, Z), "& " 703 (BC_1, *, control, 0), "& " 704 (BC_1, IO_F32, input, X), "& --BSR E32 IO_E32. Scan Cell 235 " 705 (BC_1, IO_E32, output3, X, 706, 0, Z), "& " 706 (BC_1, *, control, 0), "& " 707 (BC_1, IO_E32, input, X), "& --BSR G30 IO_G30. Scan Cell 236 " 708 (BC_1, IO_G30, output3, X, 709, 0, Z), "& " 709 (BC_1, *, control, 0), "& " 710 (BC_1, IO_G30, input, X), "& --BSR H29 IO_H29. Scan Cell 237 " 711 (BC_1, IO_H29, output3, X, 712, 0, Z), "& " 712 (BC_1, *, control, 0), "& " 713 (BC_1, IO_H29, input, X), "& --BSR J29 IO_J29. Scan Cell 238 " 714 (BC_1, IO_J29, output3, X, 715, 0, Z), "& " 715 (BC_1, *, control, 0), "& " 716 (BC_1, IO_J29, input, X), "& --BSR J28 IO_J28. Scan Cell 239 " 717 (BC_1, IO_J28, output3, X, 718, 0, Z), "& " 718 (BC_1, *, control, 0), "& " 719 (BC_1, IO_J28, input, X), "& --BSR E31 IO_E31. Scan Cell 240 " 720 (BC_1, IO_E31, output3, X, 721, 0, Z), "& " 721 (BC_1, *, control, 0), "& " 722 (BC_1, IO_E31, input, X), "& --BSR D32 IO_D32. Scan Cell 241 " 723 (BC_1, IO_D32, output3, X, 724, 0, Z), "& " 724 (BC_1, *, control, 0), "& " 725 (BC_1, IO_D32, input, X), "& --BSR J27 IO_J27. Scan Cell 242 " 726 (BC_1, IO_J27, output3, X, 727, 0, Z), "& " 727 (BC_1, *, control, 0), "& " 728 (BC_1, IO_J27, input, X), "& --BSR J26 IO_J26. Scan Cell 243 " 729 (BC_1, IO_J26, output3, X, 730, 0, Z), "& " 730 (BC_1, *, control, 0), "& " 731 (BC_1, IO_J26, input, X), "& --BSR C32 IO_C32. Scan Cell 244 " 732 (BC_1, IO_C32, output3, X, 733, 0, Z), "& " 733 (BC_1, *, control, 0), "& " 734 (BC_1, IO_C32, input, X), "& --BSR C31 IO_C31. Scan Cell 245 " 735 (BC_1, IO_C31, output3, X, 736, 0, Z), "& " 736 (BC_1, *, control, 0), "& " 737 (BC_1, IO_C31, input, X), "& --BSR F31 IO_F31. Scan Cell 246 " 738 (BC_1, IO_F31, output3, X, 739, 0, Z), "& " 739 (BC_1, *, control, 0), "& " 740 (BC_1, IO_F31, input, X), "& --BSR F30 IO_F30. Scan Cell 247 " 741 (BC_1, IO_F30, output3, X, 742, 0, Z), "& " 742 (BC_1, *, control, 0), "& " 743 (BC_1, IO_F30, input, X), "& --BSR A33 IO_A33. Scan Cell 248 " 744 (BC_1, IO_A33, output3, X, 745, 0, Z), "& " 745 (BC_1, *, control, 0), "& " 746 (BC_1, IO_A33, input, X), "& --BSR B33 IO_B33. Scan Cell 249 " 747 (BC_1, IO_B33, output3, X, 748, 0, Z), "& " 748 (BC_1, *, control, 0), "& " 749 (BC_1, IO_B33, input, X), "& --BSR A31 IO_A31. Scan Cell 250 " 750 (BC_1, IO_A31, output3, X, 751, 0, Z), "& " 751 (BC_1, *, control, 0), "& " 752 (BC_1, IO_A31, input, X), "& --BSR B31 IO_B31. Scan Cell 251 " 753 (BC_1, IO_B31, output3, X, 754, 0, Z), "& " 754 (BC_1, *, control, 0), "& " 755 (BC_1, IO_B31, input, X), "& --BSR E30 IO_E30. Scan Cell 252 " 756 (BC_1, IO_E30, output3, X, 757, 0, Z), "& " 757 (BC_1, *, control, 0), "& " 758 (BC_1, IO_E30, input, X), "& --BSR D30 IO_D30. Scan Cell 253 " 759 (BC_1, IO_D30, output3, X, 760, 0, Z), "& " 760 (BC_1, *, control, 0), "& " 761 (BC_1, IO_D30, input, X), "& --BSR A29 IO_A29. Scan Cell 254 " 762 (BC_1, IO_A29, output3, X, 763, 0, Z), "& " 763 (BC_1, *, control, 0), "& " 764 (BC_1, IO_A29, input, X), "& --BSR B29 IO_B29. Scan Cell 255 " 765 (BC_1, IO_B29, output3, X, 766, 0, Z), "& " 766 (BC_1, *, control, 0), "& " 767 (BC_1, IO_B29, input, X), "& --BSR C30 IO_C30. Scan Cell 256 " 768 (BC_1, IO_C30, output3, X, 769, 0, Z), "& " 769 (BC_1, *, control, 0), "& " 770 (BC_1, IO_C30, input, X), "& --BSR C29 IO_C29. Scan Cell 257 " 771 (BC_1, IO_C29, output3, X, 772, 0, Z), "& " 772 (BC_1, *, control, 0), "& " 773 (BC_1, IO_C29, input, X), "& --BSR G29 IO_G29. Scan Cell 258 " 774 (BC_1, IO_G29, output3, X, 775, 0, Z), "& " 775 (BC_1, *, control, 0), "& " 776 (BC_1, IO_G29, input, X), "& --BSR F29 IO_F29. Scan Cell 259 " 777 (BC_1, IO_F29, output3, X, 778, 0, Z), "& " 778 (BC_1, *, control, 0), "& " 779 (BC_1, IO_F29, input, X), "& --BSR D28 IO_D28. Scan Cell 260 " 780 (BC_1, IO_D28, output3, X, 781, 0, Z), "& " 781 (BC_1, *, control, 0), "& " 782 (BC_1, IO_D28, input, X), "& --BSR E28 IO_E28. Scan Cell 261 " 783 (BC_1, IO_E28, output3, X, 784, 0, Z), "& " 784 (BC_1, *, control, 0), "& " 785 (BC_1, IO_E28, input, X), "& --BSR F28 IO_F28. Scan Cell 262 " 786 (BC_1, IO_F28, output3, X, 787, 0, Z), "& " 787 (BC_1, *, control, 0), "& " 788 (BC_1, IO_F28, input, X), "& --BSR F27 IO_F27. Scan Cell 263 " 789 (BC_1, IO_F27, output3, X, 790, 0, Z), "& " 790 (BC_1, *, control, 0), "& " 791 (BC_1, IO_F27, input, X), "& --BSR J24 IO_J24. Scan Cell 264 " 792 (BC_1, IO_J24, output3, X, 793, 0, Z), "& " 793 (BC_1, *, control, 0), "& " 794 (BC_1, IO_J24, input, X), "& --BSR H23 IO_H23. Scan Cell 265 " 795 (BC_1, IO_H23, output3, X, 796, 0, Z), "& " 796 (BC_1, *, control, 0), "& " 797 (BC_1, IO_H23, input, X), "& --BSR J25 IO_J25. Scan Cell 266 " 798 (BC_1, IO_J25, output3, X, 799, 0, Z), "& " 799 (BC_1, *, control, 0), "& " 800 (BC_1, IO_J25, input, X), "& --BSR H25 IO_H25. Scan Cell 267 " 801 (BC_1, IO_H25, output3, X, 802, 0, Z), "& " 802 (BC_1, *, control, 0), "& " 803 (BC_1, IO_H25, input, X), "& --BSR F23 IO_F23. Scan Cell 268 " 804 (BC_1, IO_F23, output3, X, 805, 0, Z), "& " 805 (BC_1, *, control, 0), "& " 806 (BC_1, IO_F23, input, X), "& --BSR G23 IO_G23. Scan Cell 269 " 807 (BC_1, IO_G23, output3, X, 808, 0, Z), "& " 808 (BC_1, *, control, 0), "& " 809 (BC_1, IO_G23, input, X), "& --BSR G27 IO_G27. Scan Cell 270 " 810 (BC_1, IO_G27, output3, X, 811, 0, Z), "& " 811 (BC_1, *, control, 0), "& " 812 (BC_1, IO_G27, input, X), "& --BSR H27 IO_H27. Scan Cell 271 " 813 (BC_1, IO_H27, output3, X, 814, 0, Z), "& " 814 (BC_1, *, control, 0), "& " 815 (BC_1, IO_H27, input, X), "& --BSR J23 IO_J23. Scan Cell 272 " 816 (BC_1, IO_J23, output3, X, 817, 0, Z), "& " 817 (BC_1, *, control, 0), "& " 818 (BC_1, IO_J23, input, X), "& --BSR J22 IO_J22. Scan Cell 273 " 819 (BC_1, IO_J22, output3, X, 820, 0, Z), "& " 820 (BC_1, *, control, 0), "& " 821 (BC_1, IO_J22, input, X), "& --BSR F25 IO_F25. Scan Cell 274 " 822 (BC_1, IO_F25, output3, X, 823, 0, Z), "& " 823 (BC_1, *, control, 0), "& " 824 (BC_1, IO_F25, input, X), "& --BSR G25 IO_G25. Scan Cell 275 " 825 (BC_1, IO_G25, output3, X, 826, 0, Z), "& " 826 (BC_1, *, control, 0), "& " 827 (BC_1, IO_G25, input, X), "& --BSR C28 IO_C28. Scan Cell 276 " 828 (BC_1, IO_C28, output3, X, 829, 0, Z), "& " 829 (BC_1, *, control, 0), "& " 830 (BC_1, IO_C28, input, X), "& --BSR C27 IO_C27. Scan Cell 277 " 831 (BC_1, IO_C27, output3, X, 832, 0, Z), "& " 832 (BC_1, *, control, 0), "& " 833 (BC_1, IO_C27, input, X), "& --BSR F26 IO_F26. Scan Cell 278 " 834 (BC_1, IO_F26, output3, X, 835, 0, Z), "& " 835 (BC_1, *, control, 0), "& " 836 (BC_1, IO_F26, input, X), "& --BSR E26 IO_E26. Scan Cell 279 " 837 (BC_1, IO_E26, output3, X, 838, 0, Z), "& " 838 (BC_1, *, control, 0), "& " 839 (BC_1, IO_E26, input, X), "& --BSR D26 IO_D26. Scan Cell 280 " 840 (BC_1, IO_D26, output3, X, 841, 0, Z), "& " 841 (BC_1, *, control, 0), "& " 842 (BC_1, IO_D26, input, X), "& --BSR C26 IO_C26. Scan Cell 281 " 843 (BC_1, IO_C26, output3, X, 844, 0, Z), "& " 844 (BC_1, *, control, 0), "& " 845 (BC_1, IO_C26, input, X), "& --BSR A27 IO_A27. Scan Cell 282 " 846 (BC_1, IO_A27, output3, X, 847, 0, Z), "& " 847 (BC_1, *, control, 0), "& " 848 (BC_1, IO_A27, input, X), "& --BSR B27 IO_B27. Scan Cell 283 " 849 (BC_1, IO_B27, output3, X, 850, 0, Z), "& " 850 (BC_1, *, control, 0), "& " 851 (BC_1, IO_B27, input, X), "& --BSR A25 IO_A25. Scan Cell 284 " 852 (BC_1, IO_A25, output3, X, 853, 0, Z), "& " 853 (BC_1, *, control, 0), "& " 854 (BC_1, IO_A25, input, X), "& --BSR B25 IO_B25. Scan Cell 285 " 855 (BC_1, IO_B25, output3, X, 856, 0, Z), "& " 856 (BC_1, *, control, 0), "& " 857 (BC_1, IO_B25, input, X), "& --BSR C25 IO_C25. Scan Cell 286 " 858 (BC_1, IO_C25, output3, X, 859, 0, Z), "& " 859 (BC_1, *, control, 0), "& " 860 (BC_1, IO_C25, input, X), "& --BSR D24 IO_D24. Scan Cell 287 " 861 (BC_1, IO_D24, output3, X, 862, 0, Z), "& " 862 (BC_1, *, control, 0), "& " 863 (BC_1, IO_D24, input, X), "& --BSR D22 IO_D22. Scan Cell 288 " 864 (BC_1, IO_D22, output3, X, 865, 0, Z), "& " 865 (BC_1, *, control, 0), "& " 866 (BC_1, IO_D22, input, X), "& --BSR E22 IO_E22. Scan Cell 289 " 867 (BC_1, IO_E22, output3, X, 868, 0, Z), "& " 868 (BC_1, *, control, 0), "& " 869 (BC_1, IO_E22, input, X), "& --BSR C24 IO_C24. Scan Cell 290 " 870 (BC_1, IO_C24, output3, X, 871, 0, Z), "& " 871 (BC_1, *, control, 0), "& " 872 (BC_1, IO_C24, input, X), "& --BSR C23 IO_C23. Scan Cell 291 " 873 (BC_1, IO_C23, output3, X, 874, 0, Z), "& " 874 (BC_1, *, control, 0), "& " 875 (BC_1, IO_C23, input, X), "& --BSR C22 IO_C22. Scan Cell 292 " 876 (BC_1, IO_C22, output3, X, 877, 0, Z), "& " 877 (BC_1, *, control, 0), "& " 878 (BC_1, IO_C22, input, X), "& --BSR C21 IO_C21. Scan Cell 293 " 879 (BC_1, IO_C21, output3, X, 880, 0, Z), "& " 880 (BC_1, *, control, 0), "& " 881 (BC_1, IO_C21, input, X), "& --BSR E24 IO_E24. Scan Cell 294 " 882 (BC_1, IO_E24, output3, X, 883, 0, Z), "& " 883 (BC_1, *, control, 0), "& " 884 (BC_1, IO_E24, input, X), "& --BSR F24 IO_F24. Scan Cell 295 " 885 (BC_1, IO_F24, output3, X, 886, 0, Z), "& " 886 (BC_1, *, control, 0), "& " 887 (BC_1, IO_F24, input, X), "& --BSR A23 IO_A23. Scan Cell 296 " 888 (BC_1, IO_A23, output3, X, 889, 0, Z), "& " 889 (BC_1, *, control, 0), "& " 890 (BC_1, IO_A23, input, X), "& --BSR B23 IO_B23. Scan Cell 297 " 891 (BC_1, IO_B23, output3, X, 892, 0, Z), "& " 892 (BC_1, *, control, 0), "& " 893 (BC_1, IO_B23, input, X), "& --BSR A21 IO_A21. Scan Cell 298 " 894 (BC_1, IO_A21, output3, X, 895, 0, Z), "& " 895 (BC_1, *, control, 0), "& " 896 (BC_1, IO_A21, input, X), "& --BSR B21 IO_B21. Scan Cell 299 " 897 (BC_1, IO_B21, output3, X, 898, 0, Z), "& " 898 (BC_1, *, control, 0), "& " 899 (BC_1, IO_B21, input, X), "& --BSR J20 IO_J20. Scan Cell 300 " 900 (BC_1, IO_J20, output3, X, 901, 0, Z), "& " 901 (BC_1, *, control, 0), "& " 902 (BC_1, IO_J20, input, X), "& --BSR K20 IO_K20. Scan Cell 301 " 903 (BC_1, IO_K20, output3, X, 904, 0, Z), "& " 904 (BC_1, *, control, 0), "& " 905 (BC_1, IO_K20, input, X), "& --BSR J21 IO_J21. Scan Cell 302 " 906 (BC_1, IO_J21, output3, X, 907, 0, Z), "& " 907 (BC_1, *, control, 0), "& " 908 (BC_1, IO_J21, input, X), "& --BSR H21 IO_H21. Scan Cell 303 " 909 (BC_1, IO_H21, output3, X, 910, 0, Z), "& " 910 (BC_1, *, control, 0), "& " 911 (BC_1, IO_H21, input, X), "& --BSR F22 IO_F22. Scan Cell 304 " 912 (BC_1, IO_F22, output3, X, 913, 0, Z), "& " 913 (BC_1, *, control, 0), "& " 914 (BC_1, IO_F22, input, X), "& --BSR F21 IO_F21. Scan Cell 305 " 915 (BC_1, IO_F21, output3, X, 916, 0, Z), "& " 916 (BC_1, *, control, 0), "& " 917 (BC_1, IO_F21, input, X), "& --BSR E20 IO_E20. Scan Cell 306 " 918 (BC_1, IO_E20, output3, X, 919, 0, Z), "& " 919 (BC_1, *, control, 0), "& " 920 (BC_1, IO_E20, input, X), "& --BSR F20 IO_F20. Scan Cell 307 " 921 (BC_1, IO_F20, output3, X, 922, 0, Z), "& " 922 (BC_1, *, control, 0), "& " 923 (BC_1, IO_F20, input, X), "& --BSR G21 IO_G21. Scan Cell 308 " 924 (BC_1, IO_G21, output3, X, 925, 0, Z), "& " 925 (BC_1, *, control, 0), "& " 926 (BC_1, IO_G21, input, X), "& --BSR H20 IO_H20. Scan Cell 309 " 927 (BC_1, IO_H20, output3, X, 928, 0, Z), "& " 928 (BC_1, *, control, 0), "& " 929 (BC_1, IO_H20, input, X), "& --BSR D20 IO_D20. Scan Cell 310 " 930 (BC_1, IO_D20, output3, X, 931, 0, Z), "& " 931 (BC_1, *, control, 0), "& " 932 (BC_1, IO_D20, input, X), "& --BSR C20 IO_C20. Scan Cell 311 " 933 (BC_1, IO_C20, output3, X, 934, 0, Z), "& " 934 (BC_1, *, control, 0), "& " 935 (BC_1, IO_C20, input, X), "& --BSR K19 IO_K19. Scan Cell 312 " 936 (BC_1, IO_K19, output3, X, 937, 0, Z), "& " 937 (BC_1, *, control, 0), "& " 938 (BC_1, IO_K19, input, X), "& --BSR J19 IO_J19. Scan Cell 313 " 939 (BC_1, IO_J19, output3, X, 940, 0, Z), "& " 940 (BC_1, *, control, 0), "& " 941 (BC_1, IO_J19, input, X), "& --BSR G20 IO_G20. Scan Cell 314 " 942 (BC_1, IO_G20, output3, X, 943, 0, Z), "& " 943 (BC_1, *, control, 0), "& " 944 (BC_1, IO_G20, input, X), "& --BSR F19 IO_F19. Scan Cell 315 " 945 (BC_1, IO_F19, output3, X, 946, 0, Z), "& " 946 (BC_1, *, control, 0), "& " 947 (BC_1, IO_F19, input, X), "& --BSR D19 IO_D19. Scan Cell 316 " 948 (BC_1, IO_D19, output3, X, 949, 0, Z), "& " 949 (BC_1, *, control, 0), "& " 950 (BC_1, IO_D19, input, X), "& --BSR C19 IO_C19. Scan Cell 317 " 951 (BC_1, IO_C19, output3, X, 952, 0, Z), "& " 952 (BC_1, *, control, 0), "& " 953 (BC_1, IO_C19, input, X), "& --BSR A19 IO_A19. Scan Cell 318 " 954 (BC_1, IO_A19, output3, X, 955, 0, Z), "& " 955 (BC_1, *, control, 0), "& " 956 (BC_1, IO_A19, input, X), "& --BSR B19 IO_B19. Scan Cell 319 " 957 (BC_1, IO_B19, output3, X, 958, 0, Z), "& " 958 (BC_1, *, control, 0), "& " 959 (BC_1, IO_B19, input, X), "& --BSR H18 IO_H18. Scan Cell 320 " 960 (BC_1, IO_H18, output3, X, 961, 0, Z), "& " 961 (BC_1, *, control, 0), "& " 962 (BC_1, IO_H18, input, X), "& --BSR G18 IO_G18. Scan Cell 321 " 963 (BC_1, IO_G18, output3, X, 964, 0, Z), "& " 964 (BC_1, *, control, 0), "& " 965 (BC_1, IO_G18, input, X), "& --BSR G19 IO_G19. Scan Cell 322 " 966 (BC_1, IO_G19, output3, X, 967, 0, Z), "& " 967 (BC_1, *, control, 0), "& " 968 (BC_1, IO_G19, input, X), "& --BSR F18 IO_F18. Scan Cell 323 " 969 (BC_1, IO_F18, output3, X, 970, 0, Z), "& " 970 (BC_1, *, control, 0), "& " 971 (BC_1, IO_F18, input, X), "& --BSR D18 IO_D18. Scan Cell 324 " 972 (BC_1, IO_D18, output3, X, 973, 0, Z), "& " 973 (BC_1, *, control, 0), "& " 974 (BC_1, IO_D18, input, X), "& --BSR E18 IO_E18. Scan Cell 325 " 975 (BC_1, IO_E18, output3, X, 976, 0, Z), "& " 976 (BC_1, *, control, 0), "& " 977 (BC_1, IO_E18, input, X), "& --BSR B18 IO_B18. Scan Cell 326 " 978 (BC_1, IO_B18, output3, X, 979, 0, Z), "& " 979 (BC_1, *, control, 0), "& " 980 (BC_1, IO_B18, input, X), "& --BSR A18 IO_A18. Scan Cell 327 " 981 (BC_1, IO_A18, output3, X, 982, 0, Z), "& " 982 (BC_1, *, control, 0), "& " 983 (BC_1, IO_A18, input, X), "& --BSR J16 IO_J16. Scan Cell 328 " 984 (BC_1, IO_J16, output3, X, 985, 0, Z), "& " 985 (BC_1, *, control, 0), "& " 986 (BC_1, IO_J16, input, X), "& --BSR K17 IO_K17. Scan Cell 329 " 987 (BC_1, IO_K17, output3, X, 988, 0, Z), "& " 988 (BC_1, *, control, 0), "& " 989 (BC_1, IO_K17, input, X), "& --BSR J18 IO_J18. Scan Cell 330 " 990 (BC_1, IO_J18, output3, X, 991, 0, Z), "& " 991 (BC_1, *, control, 0), "& " 992 (BC_1, IO_J18, input, X), "& --BSR J17 IO_J17. Scan Cell 331 " 993 (BC_1, IO_J17, output3, X, 994, 0, Z), "& " 994 (BC_1, *, control, 0), "& " 995 (BC_1, IO_J17, input, X), "& --BSR F17 IO_F17. Scan Cell 332 " 996 (BC_1, IO_F17, output3, X, 997, 0, Z), "& " 997 (BC_1, *, control, 0), "& " 998 (BC_1, IO_F17, input, X), "& --BSR E17 IO_E17. Scan Cell 333 " 999 (BC_1, IO_E17, output3, X, 1000, 0, Z), "& " 1000 (BC_1, *, control, 0), "& " 1001 (BC_1, IO_E17, input, X), "& --BSR H16 IO_H16. Scan Cell 334 " 1002 (BC_1, IO_H16, output3, X, 1003, 0, Z), "& " 1003 (BC_1, *, control, 0), "& " 1004 (BC_1, IO_H16, input, X), "& --BSR J15 IO_J15. Scan Cell 335 " 1005 (BC_1, IO_J15, output3, X, 1006, 0, Z), "& " 1006 (BC_1, *, control, 0), "& " 1007 (BC_1, IO_J15, input, X), "& --BSR C17 IO_C17. Scan Cell 336 " 1008 (BC_1, IO_C17, output3, X, 1009, 0, Z), "& " 1009 (BC_1, *, control, 0), "& " 1010 (BC_1, IO_C17, input, X), "& --BSR D17 IO_D17. Scan Cell 337 " 1011 (BC_1, IO_D17, output3, X, 1012, 0, Z), "& " 1012 (BC_1, *, control, 0), "& " 1013 (BC_1, IO_D17, input, X), "& --BSR F16 IO_F16. Scan Cell 338 " 1014 (BC_1, IO_F16, output3, X, 1015, 0, Z), "& " 1015 (BC_1, *, control, 0), "& " 1016 (BC_1, IO_F16, input, X), "& --BSR G16 IO_G16. Scan Cell 339 " 1017 (BC_1, IO_G16, output3, X, 1018, 0, Z), "& " 1018 (BC_1, *, control, 0), "& " 1019 (BC_1, IO_G16, input, X), "& --BSR A16 IO_A16. Scan Cell 340 " 1020 (BC_1, IO_A16, output3, X, 1021, 0, Z), "& " 1021 (BC_1, *, control, 0), "& " 1022 (BC_1, IO_A16, input, X), "& --BSR B16 IO_B16. Scan Cell 341 " 1023 (BC_1, IO_B16, output3, X, 1024, 0, Z), "& " 1024 (BC_1, *, control, 0), "& " 1025 (BC_1, IO_B16, input, X), "& --BSR C16 IO_C16. Scan Cell 342 " 1026 (BC_1, IO_C16, output3, X, 1027, 0, Z), "& " 1027 (BC_1, *, control, 0), "& " 1028 (BC_1, IO_C16, input, X), "& --BSR D15 IO_D15. Scan Cell 343 " 1029 (BC_1, IO_D15, output3, X, 1030, 0, Z), "& " 1030 (BC_1, *, control, 0), "& " 1031 (BC_1, IO_D15, input, X), "& --BSR C15 IO_C15. Scan Cell 344 " 1032 (BC_1, IO_C15, output3, X, 1033, 0, Z), "& " 1033 (BC_1, *, control, 0), "& " 1034 (BC_1, IO_C15, input, X), "& --BSR C14 IO_C14. Scan Cell 345 " 1035 (BC_1, IO_C14, output3, X, 1036, 0, Z), "& " 1036 (BC_1, *, control, 0), "& " 1037 (BC_1, IO_C14, input, X), "& --BSR F15 IO_F15. Scan Cell 346 " 1038 (BC_1, IO_F15, output3, X, 1039, 0, Z), "& " 1039 (BC_1, *, control, 0), "& " 1040 (BC_1, IO_F15, input, X), "& --BSR E15 IO_E15. Scan Cell 347 " 1041 (BC_1, IO_E15, output3, X, 1042, 0, Z), "& " 1042 (BC_1, *, control, 0), "& " 1043 (BC_1, IO_E15, input, X), "& --BSR A14 IO_A14. Scan Cell 348 " 1044 (BC_1, IO_A14, output3, X, 1045, 0, Z), "& " 1045 (BC_1, *, control, 0), "& " 1046 (BC_1, IO_A14, input, X), "& --BSR B14 IO_B14. Scan Cell 349 " 1047 (BC_1, IO_B14, output3, X, 1048, 0, Z), "& " 1048 (BC_1, *, control, 0), "& " 1049 (BC_1, IO_B14, input, X), "& --BSR F14 IO_F14. Scan Cell 350 " 1050 (BC_1, IO_F14, output3, X, 1051, 0, Z), "& " 1051 (BC_1, *, control, 0), "& " 1052 (BC_1, IO_F14, input, X), "& --BSR E13 IO_E13. Scan Cell 351 " 1053 (BC_1, IO_E13, output3, X, 1054, 0, Z), "& " 1054 (BC_1, *, control, 0), "& " 1055 (BC_1, IO_E13, input, X), "& --BSR C13 IO_C13. Scan Cell 352 " 1056 (BC_1, IO_C13, output3, X, 1057, 0, Z), "& " 1057 (BC_1, *, control, 0), "& " 1058 (BC_1, IO_C13, input, X), "& --BSR D13 IO_D13. Scan Cell 353 " 1059 (BC_1, IO_D13, output3, X, 1060, 0, Z), "& " 1060 (BC_1, *, control, 0), "& " 1061 (BC_1, IO_D13, input, X), "& --BSR A12 IO_A12. Scan Cell 354 " 1062 (BC_1, IO_A12, output3, X, 1063, 0, Z), "& " 1063 (BC_1, *, control, 0), "& " 1064 (BC_1, IO_A12, input, X), "& --BSR B12 IO_B12. Scan Cell 355 " 1065 (BC_1, IO_B12, output3, X, 1066, 0, Z), "& " 1066 (BC_1, *, control, 0), "& " 1067 (BC_1, IO_B12, input, X), "& --BSR C12 IO_C12. Scan Cell 356 " 1068 (BC_1, IO_C12, output3, X, 1069, 0, Z), "& " 1069 (BC_1, *, control, 0), "& " 1070 (BC_1, IO_C12, input, X), "& --BSR C11 IO_C11. Scan Cell 357 " 1071 (BC_1, IO_C11, output3, X, 1072, 0, Z), "& " 1072 (BC_1, *, control, 0), "& " 1073 (BC_1, IO_C11, input, X), "& --BSR F13 IO_F13. Scan Cell 358 " 1074 (BC_1, IO_F13, output3, X, 1075, 0, Z), "& " 1075 (BC_1, *, control, 0), "& " 1076 (BC_1, IO_F13, input, X), "& --BSR F12 IO_F12. Scan Cell 359 " 1077 (BC_1, IO_F12, output3, X, 1078, 0, Z), "& " 1078 (BC_1, *, control, 0), "& " 1079 (BC_1, IO_F12, input, X), "& --BSR D11 IO_D11. Scan Cell 360 " 1080 (BC_1, IO_D11, output3, X, 1081, 0, Z), "& " 1081 (BC_1, *, control, 0), "& " 1082 (BC_1, IO_D11, input, X), "& --BSR E11 IO_E11. Scan Cell 361 " 1083 (BC_1, IO_E11, output3, X, 1084, 0, Z), "& " 1084 (BC_1, *, control, 0), "& " 1085 (BC_1, IO_E11, input, X), "& --BSR F11 IO_F11. Scan Cell 362 " 1086 (BC_1, IO_F11, output3, X, 1087, 0, Z), "& " 1087 (BC_1, *, control, 0), "& " 1088 (BC_1, IO_F11, input, X), "& --BSR F10 IO_F10. Scan Cell 363 " 1089 (BC_1, IO_F10, output3, X, 1090, 0, Z), "& " 1090 (BC_1, *, control, 0), "& " 1091 (BC_1, IO_F10, input, X), "& --BSR G14 IO_G14. Scan Cell 364 " 1092 (BC_1, IO_G14, output3, X, 1093, 0, Z), "& " 1093 (BC_1, *, control, 0), "& " 1094 (BC_1, IO_G14, input, X), "& --BSR H14 IO_H14. Scan Cell 365 " 1095 (BC_1, IO_H14, output3, X, 1096, 0, Z), "& " 1096 (BC_1, *, control, 0), "& " 1097 (BC_1, IO_H14, input, X), "& --BSR J14 IO_J14. Scan Cell 366 " 1098 (BC_1, IO_J14, output3, X, 1099, 0, Z), "& " 1099 (BC_1, *, control, 0), "& " 1100 (BC_1, IO_J14, input, X), "& --BSR J13 IO_J13. Scan Cell 367 " 1101 (BC_1, IO_J13, output3, X, 1102, 0, Z), "& " 1102 (BC_1, *, control, 0), "& " 1103 (BC_1, IO_J13, input, X), "& --BSR G12 IO_G12. Scan Cell 368 " 1104 (BC_1, IO_G12, output3, X, 1105, 0, Z), "& " 1105 (BC_1, *, control, 0), "& " 1106 (BC_1, IO_G12, input, X), "& --BSR H12 IO_H12. Scan Cell 369 " 1107 (BC_1, IO_H12, output3, X, 1108, 0, Z), "& " 1108 (BC_1, *, control, 0), "& " 1109 (BC_1, IO_H12, input, X), "& --BSR J12 IO_J12. Scan Cell 370 " 1110 (BC_1, IO_J12, output3, X, 1111, 0, Z), "& " 1111 (BC_1, *, control, 0), "& " 1112 (BC_1, IO_J12, input, X), "& --BSR J11 IO_J11. Scan Cell 371 " 1113 (BC_1, IO_J11, output3, X, 1114, 0, Z), "& " 1114 (BC_1, *, control, 0), "& " 1115 (BC_1, IO_J11, input, X), "& --BSR H10 IO_H10. Scan Cell 372 " 1116 (BC_1, IO_H10, output3, X, 1117, 0, Z), "& " 1117 (BC_1, *, control, 0), "& " 1118 (BC_1, IO_H10, input, X), "& --BSR J10 IO_J10. Scan Cell 373 " 1119 (BC_1, IO_J10, output3, X, 1120, 0, Z), "& " 1120 (BC_1, *, control, 0), "& " 1121 (BC_1, IO_J10, input, X), "& --BSR G10 IO_G10. Scan Cell 374 " 1122 (BC_1, IO_G10, output3, X, 1123, 0, Z), "& " 1123 (BC_1, *, control, 0), "& " 1124 (BC_1, IO_G10, input, X), "& --BSR F9 IO_F9. Scan Cell 375 " 1125 (BC_1, IO_F9, output3, X, 1126, 0, Z), "& " 1126 (BC_1, *, control, 0), "& " 1127 (BC_1, IO_F9, input, X), "& --BSR A10 IO_A10. Scan Cell 376 " 1128 (BC_1, IO_A10, output3, X, 1129, 0, Z), "& " 1129 (BC_1, *, control, 0), "& " 1130 (BC_1, IO_A10, input, X), "& --BSR B10 IO_B10. Scan Cell 377 " 1131 (BC_1, IO_B10, output3, X, 1132, 0, Z), "& " 1132 (BC_1, *, control, 0), "& " 1133 (BC_1, IO_B10, input, X), "& --BSR C10 IO_C10. Scan Cell 378 " 1134 (BC_1, IO_C10, output3, X, 1135, 0, Z), "& " 1135 (BC_1, *, control, 0), "& " 1136 (BC_1, IO_C10, input, X), "& --BSR D9 IO_D9. Scan Cell 379 " 1137 (BC_1, IO_D9, output3, X, 1138, 0, Z), "& " 1138 (BC_1, *, control, 0), "& " 1139 (BC_1, IO_D9, input, X), "& --BSR C9 IO_C9. Scan Cell 380 " 1140 (BC_1, IO_C9, output3, X, 1141, 0, Z), "& " 1141 (BC_1, *, control, 0), "& " 1142 (BC_1, IO_C9, input, X), "& --BSR C8 IO_C8. Scan Cell 381 " 1143 (BC_1, IO_C8, output3, X, 1144, 0, Z), "& " 1144 (BC_1, *, control, 0), "& " 1145 (BC_1, IO_C8, input, X), "& --BSR E9 IO_E9. Scan Cell 382 " 1146 (BC_1, IO_E9, output3, X, 1147, 0, Z), "& " 1147 (BC_1, *, control, 0), "& " 1148 (BC_1, IO_E9, input, X), "& --BSR F8 IO_F8. Scan Cell 383 " 1149 (BC_1, IO_F8, output3, X, 1150, 0, Z), "& " 1150 (BC_1, *, control, 0), "& " 1151 (BC_1, IO_F8, input, X), "& --BSR A8 IO_A8. Scan Cell 384 " 1152 (BC_1, IO_A8, output3, X, 1153, 0, Z), "& " 1153 (BC_1, *, control, 0), "& " 1154 (BC_1, IO_A8, input, X), "& --BSR B8 IO_B8. Scan Cell 385 " 1155 (BC_1, IO_B8, output3, X, 1156, 0, Z), "& " 1156 (BC_1, *, control, 0), "& " 1157 (BC_1, IO_B8, input, X), "& --BSR C7 IO_C7. Scan Cell 386 " 1158 (BC_1, IO_C7, output3, X, 1159, 0, Z), "& " 1159 (BC_1, *, control, 0), "& " 1160 (BC_1, IO_C7, input, X), "& --BSR D7 IO_D7. Scan Cell 387 " 1161 (BC_1, IO_D7, output3, X, 1162, 0, Z), "& " 1162 (BC_1, *, control, 0), "& " 1163 (BC_1, IO_D7, input, X), "& --BSR E7 IO_E7. Scan Cell 388 " 1164 (BC_1, IO_E7, output3, X, 1165, 0, Z), "& " 1165 (BC_1, *, control, 0), "& " 1166 (BC_1, IO_E7, input, X), "& --BSR F7 IO_F7. Scan Cell 389 " 1167 (BC_1, IO_F7, output3, X, 1168, 0, Z), "& " 1168 (BC_1, *, control, 0), "& " 1169 (BC_1, IO_F7, input, X), "& --BSR H8 IO_H8. Scan Cell 390 " 1170 (BC_1, IO_H8, output3, X, 1171, 0, Z), "& " 1171 (BC_1, *, control, 0), "& " 1172 (BC_1, IO_H8, input, X), "& --BSR J9 IO_J9. Scan Cell 391 " 1173 (BC_1, IO_J9, output3, X, 1174, 0, Z), "& " 1174 (BC_1, *, control, 0), "& " 1175 (BC_1, IO_J9, input, X), "& --BSR G8 IO_G8. Scan Cell 392 " 1176 (BC_1, IO_G8, output3, X, 1177, 0, Z), "& " 1177 (BC_1, *, control, 0), "& " 1178 (BC_1, IO_G8, input, X), "& --BSR G7 IO_G7. Scan Cell 393 " 1179 (BC_1, IO_G7, output3, X, 1180, 0, Z), "& " 1180 (BC_1, *, control, 0), "& " 1181 (BC_1, IO_G7, input, X), "& --BSR C5 IO_C5. Scan Cell 394 " 1182 (BC_1, IO_C5, output3, X, 1183, 0, Z), "& " 1183 (BC_1, *, control, 0), "& " 1184 (BC_1, IO_C5, input, X), "& --BSR D5 IO_D5. Scan Cell 395 " 1185 (BC_1, IO_D5, output3, X, 1186, 0, Z), "& " 1186 (BC_1, *, control, 0), "& " 1187 (BC_1, IO_D5, input, X), "& --BSR E5 IO_E5. Scan Cell 396 " 1188 (BC_1, IO_E5, output3, X, 1189, 0, Z), "& " 1189 (BC_1, *, control, 0), "& " 1190 (BC_1, IO_E5, input, X), "& --BSR F5 IO_F5. Scan Cell 397 " 1191 (BC_1, IO_F5, output3, X, 1192, 0, Z), "& " 1192 (BC_1, *, control, 0), "& " 1193 (BC_1, IO_F5, input, X), "& --BSR F6 IO_F6. Scan Cell 398 " 1194 (BC_1, IO_F6, output3, X, 1195, 0, Z), "& " 1195 (BC_1, *, control, 0), "& " 1196 (BC_1, IO_F6, input, X), "& --BSR E6 IO_E6. Scan Cell 399 " 1197 (BC_1, IO_E6, output3, X, 1198, 0, Z), "& " 1198 (BC_1, *, control, 0), "& " 1199 (BC_1, IO_E6, input, X), "& --BSR B6 IO_B6. Scan Cell 400 " 1200 (BC_1, IO_B6, output3, X, 1201, 0, Z), "& " 1201 (BC_1, *, control, 0), "& " 1202 (BC_1, IO_B6, input, X), "& --BSR C6 IO_C6. Scan Cell 401 " 1203 (BC_1, IO_C6, output3, X, 1204, 0, Z), "& " 1204 (BC_1, *, control, 0), "& " 1205 (BC_1, IO_C6, input, X), "& --BSR B4 IO_B4. Scan Cell 402 " 1206 (BC_1, IO_B4, output3, X, 1207, 0, Z), "& " 1207 (BC_1, *, control, 0), "& " 1208 (BC_1, IO_B4, input, X), "& --BSR A5 IO_A5. Scan Cell 403 " 1209 (BC_1, IO_A5, output3, X, 1210, 0, Z), "& " 1210 (BC_1, *, control, 0), "& " 1211 (BC_1, IO_A5, input, X), "& --BSR A6 IO_A6. Scan Cell 404 " 1212 (BC_1, IO_A6, output3, X, 1213, 0, Z), "& " 1213 (BC_1, *, control, 0), "& " 1214 (BC_1, IO_A6, input, X), "& --BSR B5 IO_B5. Scan Cell 405 " 1215 (BC_1, IO_B5, output3, X, 1216, 0, Z), "& " 1216 (BC_1, *, control, 0), "& " 1217 (BC_1, IO_B5, input, X), "& --BSR B3 IO_B3. Scan Cell 406 " 1218 (BC_1, IO_B3, output3, X, 1219, 0, Z), "& " 1219 (BC_1, *, control, 0), "& " 1220 (BC_1, IO_B3, input, X), "& --BSR A3 IO_A3. Scan Cell 407 " 1221 (BC_1, IO_A3, output3, X, 1222, 0, Z), "& " 1222 (BC_1, *, control, 0), "& " 1223 (BC_1, IO_A3, input, X), "& --BSR D3 IO_D3. Scan Cell 408 " 1224 (BC_1, IO_D3, output3, X, 1225, 0, Z), "& " 1225 (BC_1, *, control, 0), "& " 1226 (BC_1, IO_D3, input, X), "& --BSR D2 IO_D2. Scan Cell 409 " 1227 (BC_1, IO_D2, output3, X, 1228, 0, Z), "& " 1228 (BC_1, *, control, 0), "& " 1229 (BC_1, IO_D2, input, X), "& --BSR C2 IO_C2. Scan Cell 410 " 1230 (BC_1, IO_C2, output3, X, 1231, 0, Z), "& " 1231 (BC_1, *, control, 0), "& " 1232 (BC_1, IO_C2, input, X), "& --BSR C1 IO_C1. Scan Cell 411 " 1233 (BC_1, IO_C1, output3, X, 1234, 0, Z), "& " 1234 (BC_1, *, control, 0), "& " 1235 (BC_1, IO_C1, input, X), "& --BSR D4 IO_D4. Scan Cell 412 " 1236 (BC_1, IO_D4, output3, X, 1237, 0, Z), "& " 1237 (BC_1, *, control, 0), "& " 1238 (BC_1, IO_D4, input, X), "& --BSR C4 IO_C4. Scan Cell 413 " 1239 (BC_1, IO_C4, output3, X, 1240, 0, Z), "& " 1240 (BC_1, *, control, 0), "& " 1241 (BC_1, IO_C4, input, X), "& --BSR A2 IO_A2. Scan Cell 414 " 1242 (BC_1, IO_A2, output3, X, 1243, 0, Z), "& " 1243 (BC_1, *, control, 0), "& " 1244 (BC_1, IO_A2, input, X), "& --BSR B2 IO_B2. Scan Cell 415 " 1245 (BC_1, IO_B2, output3, X, 1246, 0, Z), "& " 1246 (BC_1, *, control, 0), "& " 1247 (BC_1, IO_B2, input, X), "& --BSR K10 IO_K10. Scan Cell 416 " 1248 (BC_1, IO_K10, output3, X, 1249, 0, Z), "& " 1249 (BC_1, *, control, 0), "& " 1250 (BC_1, IO_K10, input, X), "& --BSR K9 IO_K9. Scan Cell 417 " 1251 (BC_1, IO_K9, output3, X, 1252, 0, Z), "& " 1252 (BC_1, *, control, 0), "& " 1253 (BC_1, IO_K9, input, X), "& --BSR J8 IO_J8. Scan Cell 418 " 1254 (BC_1, IO_J8, output3, X, 1255, 0, Z), "& " 1255 (BC_1, *, control, 0), "& " 1256 (BC_1, IO_J8, input, X), "& --BSR H7 IO_H7. Scan Cell 419 " 1257 (BC_1, IO_H7, output3, X, 1258, 0, Z), "& " 1258 (BC_1, *, control, 0), "& " 1259 (BC_1, IO_H7, input, X), "& --BSR G5 IO_G5. Scan Cell 420 " 1260 (BC_1, IO_G5, output3, X, 1261, 0, Z), "& " 1261 (BC_1, *, control, 0), "& " 1262 (BC_1, IO_G5, input, X), "& --BSR F4 IO_F4. Scan Cell 421 " 1263 (BC_1, IO_F4, output3, X, 1264, 0, Z), "& " 1264 (BC_1, *, control, 0), "& " 1265 (BC_1, IO_F4, input, X), "& --BSR J7 IO_J7. Scan Cell 422 " 1266 (BC_1, IO_J7, output3, X, 1267, 0, Z), "& " 1267 (BC_1, *, control, 0), "& " 1268 (BC_1, IO_J7, input, X), "& --BSR J6 IO_J6. Scan Cell 423 " 1269 (BC_1, IO_J6, output3, X, 1270, 0, Z), "& " 1270 (BC_1, *, control, 0), "& " 1271 (BC_1, IO_J6, input, X), "& --BSR H6 IO_H6. Scan Cell 424 " 1272 (BC_1, IO_H6, output3, X, 1273, 0, Z), "& " 1273 (BC_1, *, control, 0), "& " 1274 (BC_1, IO_H6, input, X), "& --BSR H5 IO_H5. Scan Cell 425 " 1275 (BC_1, IO_H5, output3, X, 1276, 0, Z), "& " 1276 (BC_1, *, control, 0), "& " 1277 (BC_1, IO_H5, input, X), "& --BSR M11 IO_M11. Scan Cell 426 " 1278 (BC_1, IO_M11, output3, X, 1279, 0, Z), "& " 1279 (BC_1, *, control, 0), "& " 1280 (BC_1, IO_M11, input, X), "& --BSR M10 IO_M10. Scan Cell 427 " 1281 (BC_1, IO_M10, output3, X, 1282, 0, Z), "& " 1282 (BC_1, *, control, 0), "& " 1283 (BC_1, IO_M10, input, X), "& --BSR L10 IO_L10. Scan Cell 428 " 1284 (BC_1, IO_L10, output3, X, 1285, 0, Z), "& " 1285 (BC_1, *, control, 0), "& " 1286 (BC_1, IO_L10, input, X), "& --BSR L9 IO_L9. Scan Cell 429 " 1287 (BC_1, IO_L9, output3, X, 1288, 0, Z), "& " 1288 (BC_1, *, control, 0), "& " 1289 (BC_1, IO_L9, input, X), "& --BSR E3 IO_E3. Scan Cell 430 " 1290 (BC_1, IO_E3, output3, X, 1291, 0, Z), "& " 1291 (BC_1, *, control, 0), "& " 1292 (BC_1, IO_E3, input, X), "& --BSR E2 IO_E2. Scan Cell 431 " 1293 (BC_1, IO_E2, output3, X, 1294, 0, Z), "& " 1294 (BC_1, *, control, 0), "& " 1295 (BC_1, IO_E2, input, X), "& --BSR F3 IO_F3. Scan Cell 432 " 1296 (BC_1, IO_F3, output3, X, 1297, 0, Z), "& " 1297 (BC_1, *, control, 0), "& " 1298 (BC_1, IO_F3, input, X), "& --BSR F2 IO_F2. Scan Cell 433 " 1299 (BC_1, IO_F2, output3, X, 1300, 0, Z), "& " 1300 (BC_1, *, control, 0), "& " 1301 (BC_1, IO_F2, input, X), "& --BSR K7 IO_K7. Scan Cell 434 " 1302 (BC_1, IO_K7, output3, X, 1303, 0, Z), "& " 1303 (BC_1, *, control, 0), "& " 1304 (BC_1, IO_K7, input, X), "& --BSR K6 IO_K6. Scan Cell 435 " 1305 (BC_1, IO_K6, output3, X, 1306, 0, Z), "& " 1306 (BC_1, *, control, 0), "& " 1307 (BC_1, IO_K6, input, X), "& --BSR N11 IO_N11. Scan Cell 436 " 1308 (BC_1, IO_N11, output3, X, 1309, 0, Z), "& " 1309 (BC_1, *, control, 0), "& " 1310 (BC_1, IO_N11, input, X), "& --BSR N12 IO_N12. Scan Cell 437 " 1311 (BC_1, IO_N12, output3, X, 1312, 0, Z), "& " 1312 (BC_1, *, control, 0), "& " 1313 (BC_1, IO_N12, input, X), "& --BSR H4 IO_H4. Scan Cell 438 " 1314 (BC_1, IO_H4, output3, X, 1315, 0, Z), "& " 1315 (BC_1, *, control, 0), "& " 1316 (BC_1, IO_H4, input, X), "& --BSR H3 IO_H3. Scan Cell 439 " 1317 (BC_1, IO_H3, output3, X, 1318, 0, Z), "& " 1318 (BC_1, *, control, 0), "& " 1319 (BC_1, IO_H3, input, X), "& --BSR G3 IO_G3. Scan Cell 440 " 1320 (BC_1, IO_G3, output3, X, 1321, 0, Z), "& " 1321 (BC_1, *, control, 0), "& " 1322 (BC_1, IO_G3, input, X), "& --BSR G2 IO_G2. Scan Cell 441 " 1323 (BC_1, IO_G2, output3, X, 1324, 0, Z), "& " 1324 (BC_1, *, control, 0), "& " 1325 (BC_1, IO_G2, input, X), "& --BSR L8 IO_L8. Scan Cell 442 " 1326 (BC_1, IO_L8, output3, X, 1327, 0, Z), "& " 1327 (BC_1, *, control, 0), "& " 1328 (BC_1, IO_L8, input, X), "& --BSR L7 IO_L7. Scan Cell 443 " 1329 (BC_1, IO_L7, output3, X, 1330, 0, Z), "& " 1330 (BC_1, *, control, 0), "& " 1331 (BC_1, IO_L7, input, X), "& --BSR N10 IO_N10. Scan Cell 444 " 1332 (BC_1, IO_N10, output3, X, 1333, 0, Z), "& " 1333 (BC_1, *, control, 0), "& " 1334 (BC_1, IO_N10, input, X), "& --BSR N9 IO_N9. Scan Cell 445 " 1335 (BC_1, IO_N9, output3, X, 1336, 0, Z), "& " 1336 (BC_1, *, control, 0), "& " 1337 (BC_1, IO_N9, input, X), "& --BSR K5 IO_K5. Scan Cell 446 " 1338 (BC_1, IO_K5, output3, X, 1339, 0, Z), "& " 1339 (BC_1, *, control, 0), "& " 1340 (BC_1, IO_K5, input, X), "& --BSR K4 IO_K4. Scan Cell 447 " 1341 (BC_1, IO_K4, output3, X, 1342, 0, Z), "& " 1342 (BC_1, *, control, 0), "& " 1343 (BC_1, IO_K4, input, X), "& --BSR J4 IO_J4. Scan Cell 448 " 1344 (BC_1, IO_J4, output3, X, 1345, 0, Z), "& " 1345 (BC_1, *, control, 0), "& " 1346 (BC_1, IO_J4, input, X), "& --BSR J3 IO_J3. Scan Cell 449 " 1347 (BC_1, IO_J3, output3, X, 1348, 0, Z), "& " 1348 (BC_1, *, control, 0), "& " 1349 (BC_1, IO_J3, input, X), "& --BSR P11 IO_P11. Scan Cell 450 " 1350 (BC_1, IO_P11, output3, X, 1351, 0, Z), "& " 1351 (BC_1, *, control, 0), "& " 1352 (BC_1, IO_P11, input, X), "& --BSR P12 IO_P12. Scan Cell 451 " 1353 (BC_1, IO_P12, output3, X, 1354, 0, Z), "& " 1354 (BC_1, *, control, 0), "& " 1355 (BC_1, IO_P12, input, X), "& --BSR M8 IO_M8. Scan Cell 452 " 1356 (BC_1, IO_M8, output3, X, 1357, 0, Z), "& " 1357 (BC_1, *, control, 0), "& " 1358 (BC_1, IO_M8, input, X), "& --BSR N8 IO_N8. Scan Cell 453 " 1359 (BC_1, IO_N8, output3, X, 1360, 0, Z), "& " 1360 (BC_1, *, control, 0), "& " 1361 (BC_1, IO_N8, input, X), "& --BSR D1 IO_D1. Scan Cell 454 " 1362 (BC_1, IO_D1, output3, X, 1363, 0, Z), "& " 1363 (BC_1, *, control, 0), "& " 1364 (BC_1, IO_D1, input, X), "& --BSR E1 IO_E1. Scan Cell 455 " 1365 (BC_1, IO_E1, output3, X, 1366, 0, Z), "& " 1366 (BC_1, *, control, 0), "& " 1367 (BC_1, IO_E1, input, X), "& --BSR G1 IO_G1. Scan Cell 456 " 1368 (BC_1, IO_G1, output3, X, 1369, 0, Z), "& " 1369 (BC_1, *, control, 0), "& " 1370 (BC_1, IO_G1, input, X), "& --BSR H1 IO_H1. Scan Cell 457 " 1371 (BC_1, IO_H1, output3, X, 1372, 0, Z), "& " 1372 (BC_1, *, control, 0), "& " 1373 (BC_1, IO_H1, input, X), "& --BSR M7 IO_M7. Scan Cell 458 " 1374 (BC_1, IO_M7, output3, X, 1375, 0, Z), "& " 1375 (BC_1, *, control, 0), "& " 1376 (BC_1, IO_M7, input, X), "& --BSR M6 IO_M6. Scan Cell 459 " 1377 (BC_1, IO_M6, output3, X, 1378, 0, Z), "& " 1378 (BC_1, *, control, 0), "& " 1379 (BC_1, IO_M6, input, X), "& --BSR L5 IO_L5. Scan Cell 460 " 1380 (BC_1, IO_L5, output3, X, 1381, 0, Z), "& " 1381 (BC_1, *, control, 0), "& " 1382 (BC_1, IO_L5, input, X), "& --BSR L4 IO_L4. Scan Cell 461 " 1383 (BC_1, IO_L4, output3, X, 1384, 0, Z), "& " 1384 (BC_1, *, control, 0), "& " 1385 (BC_1, IO_L4, input, X), "& --BSR P9 IO_P9. Scan Cell 462 " 1386 (BC_1, IO_P9, output3, X, 1387, 0, Z), "& " 1387 (BC_1, *, control, 0), "& " 1388 (BC_1, IO_P9, input, X), "& --BSR P8 IO_P8. Scan Cell 463 " 1389 (BC_1, IO_P8, output3, X, 1390, 0, Z), "& " 1390 (BC_1, *, control, 0), "& " 1391 (BC_1, IO_P8, input, X), "& --BSR R11 IO_R11. Scan Cell 464 " 1392 (BC_1, IO_R11, output3, X, 1393, 0, Z), "& " 1393 (BC_1, *, control, 0), "& " 1394 (BC_1, IO_R11, input, X), "& --BSR R12 IO_R12. Scan Cell 465 " 1395 (BC_1, IO_R12, output3, X, 1396, 0, Z), "& " 1396 (BC_1, *, control, 0), "& " 1397 (BC_1, IO_R12, input, X), "& --BSR J2 IO_J2. Scan Cell 466 " 1398 (BC_1, IO_J2, output3, X, 1399, 0, Z), "& " 1399 (BC_1, *, control, 0), "& " 1400 (BC_1, IO_J2, input, X), "& --BSR J1 IO_J1. Scan Cell 467 " 1401 (BC_1, IO_J1, output3, X, 1402, 0, Z), "& " 1402 (BC_1, *, control, 0), "& " 1403 (BC_1, IO_J1, input, X), "& --BSR M5 IO_M5. Scan Cell 468 " 1404 (BC_1, IO_M5, output3, X, 1405, 0, Z), "& " 1405 (BC_1, *, control, 0), "& " 1406 (BC_1, IO_M5, input, X), "& --BSR N5 IO_N5. Scan Cell 469 " 1407 (BC_1, IO_N5, output3, X, 1408, 0, Z), "& " 1408 (BC_1, *, control, 0), "& " 1409 (BC_1, IO_N5, input, X), "& --BSR R10 IO_R10. Scan Cell 470 " 1410 (BC_1, IO_R10, output3, X, 1411, 0, Z), "& " 1411 (BC_1, *, control, 0), "& " 1412 (BC_1, IO_R10, input, X), "& --BSR R9 IO_R9. Scan Cell 471 " 1413 (BC_1, IO_R9, output3, X, 1414, 0, Z), "& " 1414 (BC_1, *, control, 0), "& " 1415 (BC_1, IO_R9, input, X), "& --BSR N6 IO_N6. Scan Cell 472 " 1416 (BC_1, IO_N6, output3, X, 1417, 0, Z), "& " 1417 (BC_1, *, control, 0), "& " 1418 (BC_1, IO_N6, input, X), "& --BSR P6 IO_P6. Scan Cell 473 " 1419 (BC_1, IO_P6, output3, X, 1420, 0, Z), "& " 1420 (BC_1, *, control, 0), "& " 1421 (BC_1, IO_P6, input, X), "& --BSR P7 IO_P7. Scan Cell 474 " 1422 (BC_1, IO_P7, output3, X, 1423, 0, Z), "& " 1423 (BC_1, *, control, 0), "& " 1424 (BC_1, IO_P7, input, X), "& --BSR R7 IO_R7. Scan Cell 475 " 1425 (BC_1, IO_R7, output3, X, 1426, 0, Z), "& " 1426 (BC_1, *, control, 0), "& " 1427 (BC_1, IO_R7, input, X), "& --BSR L3 IO_L3. Scan Cell 476 " 1428 (BC_1, IO_L3, output3, X, 1429, 0, Z), "& " 1429 (BC_1, *, control, 0), "& " 1430 (BC_1, IO_L3, input, X), "& --BSR L2 IO_L2. Scan Cell 477 " 1431 (BC_1, IO_L2, output3, X, 1432, 0, Z), "& " 1432 (BC_1, *, control, 0), "& " 1433 (BC_1, IO_L2, input, X), "& --BSR T10 IO_T10. Scan Cell 478 " 1434 (BC_1, IO_T10, output3, X, 1435, 0, Z), "& " 1435 (BC_1, *, control, 0), "& " 1436 (BC_1, IO_T10, input, X), "& --BSR T11 IO_T11. Scan Cell 479 " 1437 (BC_1, IO_T11, output3, X, 1438, 0, Z), "& " 1438 (BC_1, *, control, 0), "& " 1439 (BC_1, IO_T11, input, X), "& --BSR N3 IO_N3. Scan Cell 480 " 1440 (BC_1, IO_N3, output3, X, 1441, 0, Z), "& " 1441 (BC_1, *, control, 0), "& " 1442 (BC_1, IO_N3, input, X), "& --BSR N4 IO_N4. Scan Cell 481 " 1443 (BC_1, IO_N4, output3, X, 1444, 0, Z), "& " 1444 (BC_1, *, control, 0), "& " 1445 (BC_1, IO_N4, input, X), "& --BSR M3 IO_M3. Scan Cell 482 " 1446 (BC_1, IO_M3, output3, X, 1447, 0, Z), "& " 1447 (BC_1, *, control, 0), "& " 1448 (BC_1, IO_M3, input, X), "& --BSR M2 IO_M2. Scan Cell 483 " 1449 (BC_1, IO_M2, output3, X, 1450, 0, Z), "& " 1450 (BC_1, *, control, 0), "& " 1451 (BC_1, IO_M2, input, X), "& --BSR K2 IO_K2. Scan Cell 484 " 1452 (BC_1, IO_K2, output3, X, 1453, 0, Z), "& " 1453 (BC_1, *, control, 0), "& " 1454 (BC_1, IO_K2, input, X), "& --BSR K1 IO_K1. Scan Cell 485 " 1455 (BC_1, IO_K1, output3, X, 1456, 0, Z), "& " 1456 (BC_1, *, control, 0), "& " 1457 (BC_1, IO_K1, input, X), "& --BSR T12 IO_T12. Scan Cell 486 " 1458 (BC_1, IO_T12, output3, X, 1459, 0, Z), "& " 1459 (BC_1, *, control, 0), "& " 1460 (BC_1, IO_T12, input, X), "& --BSR U12 IO_U12. Scan Cell 487 " 1461 (BC_1, IO_U12, output3, X, 1462, 0, Z), "& " 1462 (BC_1, *, control, 0), "& " 1463 (BC_1, IO_U12, input, X), "& --BSR T8 IO_T8. Scan Cell 488 " 1464 (BC_1, IO_T8, output3, X, 1465, 0, Z), "& " 1465 (BC_1, *, control, 0), "& " 1466 (BC_1, IO_T8, input, X), "& --BSR T9 IO_T9. Scan Cell 489 " 1467 (BC_1, IO_T9, output3, X, 1468, 0, Z), "& " 1468 (BC_1, *, control, 0), "& " 1469 (BC_1, IO_T9, input, X), "& --BSR R6 IO_R6. Scan Cell 490 " 1470 (BC_1, IO_R6, output3, X, 1471, 0, Z), "& " 1471 (BC_1, *, control, 0), "& " 1472 (BC_1, IO_R6, input, X), "& --BSR R5 IO_R5. Scan Cell 491 " 1473 (BC_1, IO_R5, output3, X, 1474, 0, Z), "& " 1474 (BC_1, *, control, 0), "& " 1475 (BC_1, IO_R5, input, X), "& --BSR P4 IO_P4. Scan Cell 492 " 1476 (BC_1, IO_P4, output3, X, 1477, 0, Z), "& " 1477 (BC_1, *, control, 0), "& " 1478 (BC_1, IO_P4, input, X), "& --BSR P3 IO_P3. Scan Cell 493 " 1479 (BC_1, IO_P3, output3, X, 1480, 0, Z), "& " 1480 (BC_1, *, control, 0), "& " 1481 (BC_1, IO_P3, input, X), "& --BSR U11 IO_U11. Scan Cell 494 " 1482 (BC_1, IO_U11, output3, X, 1483, 0, Z), "& " 1483 (BC_1, *, control, 0), "& " 1484 (BC_1, IO_U11, input, X), "& --BSR U10 IO_U10. Scan Cell 495 " 1485 (BC_1, IO_U10, output3, X, 1486, 0, Z), "& " 1486 (BC_1, *, control, 0), "& " 1487 (BC_1, IO_U10, input, X), "& --BSR T7 IO_T7. Scan Cell 496 " 1488 (BC_1, IO_T7, output3, X, 1489, 0, Z), "& " 1489 (BC_1, *, control, 0), "& " 1490 (BC_1, IO_T7, input, X), "& --BSR U7 IO_U7. Scan Cell 497 " 1491 (BC_1, IO_U7, output3, X, 1492, 0, Z), "& " 1492 (BC_1, *, control, 0), "& " 1493 (BC_1, IO_U7, input, X), "& --BSR M1 IO_M1. Scan Cell 498 " 1494 (BC_1, IO_M1, output3, X, 1495, 0, Z), "& " 1495 (BC_1, *, control, 0), "& " 1496 (BC_1, IO_M1, input, X), "& --BSR N1 IO_N1. Scan Cell 499 " 1497 (BC_1, IO_N1, output3, X, 1498, 0, Z), "& " 1498 (BC_1, *, control, 0), "& " 1499 (BC_1, IO_N1, input, X), "& --BSR P2 IO_P2. Scan Cell 500 " 1500 (BC_1, IO_P2, output3, X, 1501, 0, Z), "& " 1501 (BC_1, *, control, 0), "& " 1502 (BC_1, IO_P2, input, X), "& --BSR P1 IO_P1. Scan Cell 501 " 1503 (BC_1, IO_P1, output3, X, 1504, 0, Z), "& " 1504 (BC_1, *, control, 0), "& " 1505 (BC_1, IO_P1, input, X), "& --BSR R4 IO_R4. Scan Cell 502 " 1506 (BC_1, IO_R4, output3, X, 1507, 0, Z), "& " 1507 (BC_1, *, control, 0), "& " 1508 (BC_1, IO_R4, input, X), "& --BSR T4 IO_T4. Scan Cell 503 " 1509 (BC_1, IO_T4, output3, X, 1510, 0, Z), "& " 1510 (BC_1, *, control, 0), "& " 1511 (BC_1, IO_T4, input, X), "& --BSR T5 IO_T5. Scan Cell 504 " 1512 (BC_1, IO_T5, output3, X, 1513, 0, Z), "& " 1513 (BC_1, *, control, 0), "& " 1514 (BC_1, IO_T5, input, X), "& --BSR U5 IO_U5. Scan Cell 505 " 1515 (BC_1, IO_U5, output3, X, 1516, 0, Z), "& " 1516 (BC_1, *, control, 0), "& " 1517 (BC_1, IO_U5, input, X), "& --BSR U8 IO_U8. Scan Cell 506 " 1518 (BC_1, IO_U8, output3, X, 1519, 0, Z), "& " 1519 (BC_1, *, control, 0), "& " 1520 (BC_1, IO_U8, input, X), "& --BSR V8 IO_V8. Scan Cell 507 " 1521 (BC_1, IO_V8, output3, X, 1522, 0, Z), "& " 1522 (BC_1, *, control, 0), "& " 1523 (BC_1, IO_V8, input, X), "& --BSR V11 IO_V11. Scan Cell 508 " 1524 (BC_1, IO_V11, output3, X, 1525, 0, Z), "& " 1525 (BC_1, *, control, 0), "& " 1526 (BC_1, IO_V11, input, X), "& --BSR V12 IO_V12. Scan Cell 509 " 1527 (BC_1, IO_V12, output3, X, 1528, 0, Z), "& " 1528 (BC_1, *, control, 0), "& " 1529 (BC_1, IO_V12, input, X), "& --BSR V10 IO_V10. Scan Cell 510 " 1530 (BC_1, IO_V10, output3, X, 1531, 0, Z), "& " 1531 (BC_1, *, control, 0), "& " 1532 (BC_1, IO_V10, input, X), "& --BSR V9 IO_V9. Scan Cell 511 " 1533 (BC_1, IO_V9, output3, X, 1534, 0, Z), "& " 1534 (BC_1, *, control, 0), "& " 1535 (BC_1, IO_V9, input, X), "& --BSR U6 IO_U6. Scan Cell 512 " 1536 (BC_1, IO_U6, output3, X, 1537, 0, Z), "& " 1537 (BC_1, *, control, 0), "& " 1538 (BC_1, IO_U6, input, X), "& --BSR V6 IO_V6. Scan Cell 513 " 1539 (BC_1, IO_V6, output3, X, 1540, 0, Z), "& " 1540 (BC_1, *, control, 0), "& " 1541 (BC_1, IO_V6, input, X), "& --BSR R2 IO_R2. Scan Cell 514 " 1542 (BC_1, IO_R2, output3, X, 1543, 0, Z), "& " 1543 (BC_1, *, control, 0), "& " 1544 (BC_1, IO_R2, input, X), "& --BSR R1 IO_R1. Scan Cell 515 " 1545 (BC_1, IO_R1, output3, X, 1546, 0, Z), "& " 1546 (BC_1, *, control, 0), "& " 1547 (BC_1, IO_R1, input, X), "& --BSR T3 IO_T3. Scan Cell 516 " 1548 (BC_1, IO_T3, output3, X, 1549, 0, Z), "& " 1549 (BC_1, *, control, 0), "& " 1550 (BC_1, IO_T3, input, X), "& --BSR T2 IO_T2. Scan Cell 517 " 1551 (BC_1, IO_T2, output3, X, 1552, 0, Z), "& " 1552 (BC_1, *, control, 0), "& " 1553 (BC_1, IO_T2, input, X), "& --BSR V5 IO_V5. Scan Cell 518 " 1554 (BC_1, IO_V5, output3, X, 1555, 0, Z), "& " 1555 (BC_1, *, control, 0), "& " 1556 (BC_1, IO_V5, input, X), "& --BSR V4 IO_V4. Scan Cell 519 " 1557 (BC_1, IO_V4, output3, X, 1558, 0, Z), "& " 1558 (BC_1, *, control, 0), "& " 1559 (BC_1, IO_V4, input, X), "& --BSR U3 IO_U3. Scan Cell 520 " 1560 (BC_1, IO_U3, output3, X, 1561, 0, Z), "& " 1561 (BC_1, *, control, 0), "& " 1562 (BC_1, IO_U3, input, X), "& --BSR U2 IO_U2. Scan Cell 521 " 1563 (BC_1, IO_U2, output3, X, 1564, 0, Z), "& " 1564 (BC_1, *, control, 0), "& " 1565 (BC_1, IO_U2, input, X), "& --BSR W11 IO_W11. Scan Cell 522 " 1566 (BC_1, IO_W11, output3, X, 1567, 0, Z), "& " 1567 (BC_1, *, control, 0), "& " 1568 (BC_1, IO_W11, input, X), "& --BSR W12 IO_W12. Scan Cell 523 " 1569 (BC_1, IO_W12, output3, X, 1570, 0, Z), "& " 1570 (BC_1, *, control, 0), "& " 1571 (BC_1, IO_W12, input, X), "& --BSR W9 IO_W9. Scan Cell 524 " 1572 (BC_1, IO_W9, output3, X, 1573, 0, Z), "& " 1573 (BC_1, *, control, 0), "& " 1574 (BC_1, IO_W9, input, X), "& --BSR W8 IO_W8. Scan Cell 525 " 1575 (BC_1, IO_W8, output3, X, 1576, 0, Z), "& " 1576 (BC_1, *, control, 0), "& " 1577 (BC_1, IO_W8, input, X), "& --BSR U1 IO_U1. Scan Cell 526 " 1578 (BC_1, IO_U1, output3, X, 1579, 0, Z), "& " 1579 (BC_1, *, control, 0), "& " 1580 (BC_1, IO_U1, input, X), "& --BSR V1 IO_V1. Scan Cell 527 " 1581 (BC_1, IO_V1, output3, X, 1582, 0, Z), "& " 1582 (BC_1, *, control, 0), "& " 1583 (BC_1, IO_V1, input, X), "& --BSR V3 IO_V3. Scan Cell 528 " 1584 (BC_1, IO_V3, output3, X, 1585, 0, Z), "& " 1585 (BC_1, *, control, 0), "& " 1586 (BC_1, IO_V3, input, X), "& --BSR W3 IO_W3. Scan Cell 529 " 1587 (BC_1, IO_W3, output3, X, 1588, 0, Z), "& " 1588 (BC_1, *, control, 0), "& " 1589 (BC_1, IO_W3, input, X), "& --BSR W4 IO_W4. Scan Cell 530 " 1590 (BC_1, IO_W4, output3, X, 1591, 0, Z), "& " 1591 (BC_1, *, control, 0), "& " 1592 (BC_1, IO_W4, input, X), "& --BSR Y4 IO_Y4. Scan Cell 531 " 1593 (BC_1, IO_Y4, output3, X, 1594, 0, Z), "& " 1594 (BC_1, *, control, 0), "& " 1595 (BC_1, IO_Y4, input, X), "& --BSR W7 IO_W7. Scan Cell 532 " 1596 (BC_1, IO_W7, output3, X, 1597, 0, Z), "& " 1597 (BC_1, *, control, 0), "& " 1598 (BC_1, IO_W7, input, X), "& --BSR W6 IO_W6. Scan Cell 533 " 1599 (BC_1, IO_W6, output3, X, 1600, 0, Z), "& " 1600 (BC_1, *, control, 0), "& " 1601 (BC_1, IO_W6, input, X), "& --BSR Y9 IO_Y9. Scan Cell 534 " 1602 (BC_1, IO_Y9, output3, X, 1603, 0, Z), "& " 1603 (BC_1, *, control, 0), "& " 1604 (BC_1, IO_Y9, input, X), "& --BSR Y10 IO_Y10. Scan Cell 535 " 1605 (BC_1, IO_Y10, output3, X, 1606, 0, Z), "& " 1606 (BC_1, *, control, 0), "& " 1607 (BC_1, IO_Y10, input, X), "& --BSR Y11 IO_Y11. Scan Cell 536 " 1608 (BC_1, IO_Y11, output3, X, 1609, 0, Z), "& " 1609 (BC_1, *, control, 0), "& " 1610 (BC_1, IO_Y11, input, X), "& --BSR Y12 IO_Y12. Scan Cell 537 " 1611 (BC_1, IO_Y12, output3, X, 1612, 0, Z), "& " 1612 (BC_1, *, control, 0), "& " 1613 (BC_1, IO_Y12, input, X), "& --BSR W2 IO_W2. Scan Cell 538 " 1614 (BC_1, IO_W2, output3, X, 1615, 0, Z), "& " 1615 (BC_1, *, control, 0), "& " 1616 (BC_1, IO_W2, input, X), "& --BSR W1 IO_W1. Scan Cell 539 " 1617 (BC_1, IO_W1, output3, X, 1618, 0, Z), "& " 1618 (BC_1, *, control, 0), "& " 1619 (BC_1, IO_W1, input, X), "& --BSR Y1 IO_Y1. Scan Cell 540 " 1620 (BC_1, IO_Y1, output3, X, 1621, 0, Z), "& " 1621 (BC_1, *, control, 0), "& " 1622 (BC_1, IO_Y1, input, X), "& --BSR Y2 IO_Y2. Scan Cell 541 " 1623 (BC_1, IO_Y2, output3, X, 1624, 0, Z), "& " 1624 (BC_1, *, control, 0), "& " 1625 (BC_1, IO_Y2, input, X), "& --BSR Y5 IO_Y5. Scan Cell 542 " 1626 (BC_1, IO_Y5, output3, X, 1627, 0, Z), "& " 1627 (BC_1, *, control, 0), "& " 1628 (BC_1, IO_Y5, input, X), "& --BSR Y6 IO_Y6. Scan Cell 543 " 1629 (BC_1, IO_Y6, output3, X, 1630, 0, Z), "& " 1630 (BC_1, *, control, 0), "& " 1631 (BC_1, IO_Y6, input, X), "& --BSR AA2 IO_AA2. Scan Cell 544 " 1632 (BC_1, IO_AA2, output3, X, 1633, 0, Z), "& " 1633 (BC_1, *, control, 0), "& " 1634 (BC_1, IO_AA2, input, X), "& --BSR AA3 IO_AA3. Scan Cell 545 " 1635 (BC_1, IO_AA3, output3, X, 1636, 0, Z), "& " 1636 (BC_1, *, control, 0), "& " 1637 (BC_1, IO_AA3, input, X), "& --BSR AB1 IO_AB1. Scan Cell 546 " 1638 (BC_1, IO_AB1, output3, X, 1639, 0, Z), "& " 1639 (BC_1, *, control, 0), "& " 1640 (BC_1, IO_AB1, input, X), "& --BSR AB2 IO_AB2. Scan Cell 547 " 1641 (BC_1, IO_AB2, output3, X, 1642, 0, Z), "& " 1642 (BC_1, *, control, 0), "& " 1643 (BC_1, IO_AB2, input, X), "& --BSR Y7 IO_Y7. Scan Cell 548 " 1644 (BC_1, IO_Y7, output3, X, 1645, 0, Z), "& " 1645 (BC_1, *, control, 0), "& " 1646 (BC_1, IO_Y7, input, X), "& --BSR AA7 IO_AA7. Scan Cell 549 " 1647 (BC_1, IO_AA7, output3, X, 1648, 0, Z), "& " 1648 (BC_1, *, control, 0), "& " 1649 (BC_1, IO_AA7, input, X), "& --BSR AA11 IO_AA11. Scan Cell 550 " 1650 (BC_1, IO_AA11, output3, X, 1651, 0, Z), "& " 1651 (BC_1, *, control, 0), "& " 1652 (BC_1, IO_AA11, input, X), "& --BSR AA12 IO_AA12. Scan Cell 551 " 1653 (BC_1, IO_AA12, output3, X, 1654, 0, Z), "& " 1654 (BC_1, *, control, 0), "& " 1655 (BC_1, IO_AA12, input, X), "& --BSR AA9 IO_AA9. Scan Cell 552 " 1656 (BC_1, IO_AA9, output3, X, 1657, 0, Z), "& " 1657 (BC_1, *, control, 0), "& " 1658 (BC_1, IO_AA9, input, X), "& --BSR AA8 IO_AA8. Scan Cell 553 " 1659 (BC_1, IO_AA8, output3, X, 1660, 0, Z), "& " 1660 (BC_1, *, control, 0), "& " 1661 (BC_1, IO_AA8, input, X), "& --BSR AA5 IO_AA5. Scan Cell 554 " 1662 (BC_1, IO_AA5, output3, X, 1663, 0, Z), "& " 1663 (BC_1, *, control, 0), "& " 1664 (BC_1, IO_AA5, input, X), "& --BSR AA4 IO_AA4. Scan Cell 555 " 1665 (BC_1, IO_AA4, output3, X, 1666, 0, Z), "& " 1666 (BC_1, *, control, 0), "& " 1667 (BC_1, IO_AA4, input, X), "& --BSR AA10 IO_AA10. Scan Cell 556 " 1668 (BC_1, IO_AA10, output3, X, 1669, 0, Z), "& " 1669 (BC_1, *, control, 0), "& " 1670 (BC_1, IO_AA10, input, X), "& --BSR AB10 IO_AB10. Scan Cell 557 " 1671 (BC_1, IO_AB10, output3, X, 1672, 0, Z), "& " 1672 (BC_1, *, control, 0), "& " 1673 (BC_1, IO_AB10, input, X), "& --BSR AB3 IO_AB3. Scan Cell 558 " 1674 (BC_1, IO_AB3, output3, X, 1675, 0, Z), "& " 1675 (BC_1, *, control, 0), "& " 1676 (BC_1, IO_AB3, input, X), "& --BSR AC3 IO_AC3. Scan Cell 559 " 1677 (BC_1, IO_AC3, output3, X, 1678, 0, Z), "& " 1678 (BC_1, *, control, 0), "& " 1679 (BC_1, IO_AC3, input, X), "& --BSR AC1 IO_AC1. Scan Cell 560 " 1680 (BC_1, IO_AC1, output3, X, 1681, 0, Z), "& " 1681 (BC_1, *, control, 0), "& " 1682 (BC_1, IO_AC1, input, X), "& --BSR AD1 IO_AD1. Scan Cell 561 " 1683 (BC_1, IO_AD1, output3, X, 1684, 0, Z), "& " 1684 (BC_1, *, control, 0), "& " 1685 (BC_1, IO_AD1, input, X), "& --BSR AB7 IO_AB7. Scan Cell 562 " 1686 (BC_1, IO_AB7, output3, X, 1687, 0, Z), "& " 1687 (BC_1, *, control, 0), "& " 1688 (BC_1, IO_AB7, input, X), "& --BSR AB8 IO_AB8. Scan Cell 563 " 1689 (BC_1, IO_AB8, output3, X, 1690, 0, Z), "& " 1690 (BC_1, *, control, 0), "& " 1691 (BC_1, IO_AB8, input, X), "& --BSR AB6 IO_AB6. Scan Cell 564 " 1692 (BC_1, IO_AB6, output3, X, 1693, 0, Z), "& " 1693 (BC_1, *, control, 0), "& " 1694 (BC_1, IO_AB6, input, X), "& --BSR AB5 IO_AB5. Scan Cell 565 " 1695 (BC_1, IO_AB5, output3, X, 1696, 0, Z), "& " 1696 (BC_1, *, control, 0), "& " 1697 (BC_1, IO_AB5, input, X), "& --BSR AC4 IO_AC4. Scan Cell 566 " 1698 (BC_1, IO_AC4, output3, X, 1699, 0, Z), "& " 1699 (BC_1, *, control, 0), "& " 1700 (BC_1, IO_AC4, input, X), "& --BSR AC5 IO_AC5. Scan Cell 567 " 1701 (BC_1, IO_AC5, output3, X, 1702, 0, Z), "& " 1702 (BC_1, *, control, 0), "& " 1703 (BC_1, IO_AC5, input, X), "& --BSR AD2 IO_AD2. Scan Cell 568 " 1704 (BC_1, IO_AD2, output3, X, 1705, 0, Z), "& " 1705 (BC_1, *, control, 0), "& " 1706 (BC_1, IO_AD2, input, X), "& --BSR AD3 IO_AD3. Scan Cell 569 " 1707 (BC_1, IO_AD3, output3, X, 1708, 0, Z), "& " 1708 (BC_1, *, control, 0), "& " 1709 (BC_1, IO_AD3, input, X), "& --BSR AD4 IO_AD4. Scan Cell 570 " 1710 (BC_1, IO_AD4, output3, X, 1711, 0, Z), "& " 1711 (BC_1, *, control, 0), "& " 1712 (BC_1, IO_AD4, input, X), "& --BSR AE4 IO_AE4. Scan Cell 571 " 1713 (BC_1, IO_AE4, output3, X, 1714, 0, Z), "& " 1714 (BC_1, *, control, 0), "& " 1715 (BC_1, IO_AE4, input, X), "& --BSR AC8 IO_AC8. Scan Cell 572 " 1716 (BC_1, IO_AC8, output3, X, 1717, 0, Z), "& " 1717 (BC_1, *, control, 0), "& " 1718 (BC_1, IO_AC8, input, X), "& --BSR AC9 IO_AC9. Scan Cell 573 " 1719 (BC_1, IO_AC9, output3, X, 1720, 0, Z), "& " 1720 (BC_1, *, control, 0), "& " 1721 (BC_1, IO_AC9, input, X), "& --BSR Pad not Bonded. Scan Cell 574 " 1722 (BC_1, *,internal, X), "& " 1723 (BC_1, *,internal, X), "& " 1724 (BC_1, *,internal, X), "& --BSR AC6 IO_AC6. Scan Cell 575 " 1725 (BC_1, *,internal, 0), "& " 1726 (BC_1, *,internal, 0), "& " 1727 (BC_1, IO_AC6, input, 0), "& --BSR AC10 SC_SPI_SS. Scan Cell 576 " 1728 (BC_1, SC_SPI_SS, output3, X, 1729, 0, Z), "& " 1729 (BC_1, *, control, 0), "& " 1730 (BC_1, SC_SPI_SS, input, X), "& --BSR AC11 SC_SPI_SDO. Scan Cell 577 " 1731 (BC_1, SC_SPI_SDO, output3, X, 1732, 0, Z), "& " 1732 (BC_1, *, control, 0), "& " 1733 (BC_1, *,internal, X), "& --BSR AD8 SC_SPI_SDI. Scan Cell 578 " 1734 (BC_1, *,internal, X), "& " 1735 (BC_1, *,internal, 0), "& " 1736 (BC_1, SC_SPI_SDI, input, X), "& --BSR AD9 SC_SPI_CLK. Scan Cell 579 " 1737 (BC_1, SC_SPI_CLK, output3, X, 1738, 0, Z), "& " 1738 (BC_1, *, control, 0), "& " 1739 (BC_1, SC_SPI_CLK, input, X) "; end M2GL150Tfc1152;