-- FAMILY: RTG4 -- DEVICE: RT4G150L -- PACKAGE: 1657 CG -- DESIGNER VERSION: 12.800.0.16 -- Include all package pins in the BSDL files including: -- (SARno37877) -- - For ProAsic and ProAsicPlus -- VPP, VPN, AVDD, AGND and NC (No connects) -- - For ProAsic3/E -- NC (No connects) -- This BSDL file reflects the pre-programming JTAG -- behavior. To reflect the post-programming JTAG -- behavior, edit this file as described below: -- If the I/O is unused or configured as an output, -- the input boundary scan cell becomes internal only. -- The input buffer is turned off, and you can not -- transfer data from the I/O pad into the input scan -- cell. For example: -- IO(1) is an output, the BSDL entry would be modified -- from: -- " 0 (BC_1, IO(1), input, X), "& -- " 1 (BC_1, IO(1), output3, X, 2, 0, Z), "& -- " 2 (BC_1, *, control, 0), "& -- to: -- " 0 (BC_1, *, internal, X), "& -- " 1 (BC_1, IO(1), output3, X, 2, 0, Z), "& -- " 2 (BC_1, *, control, 0), "& -- No modification is necessary when the I/O is -- configured as an input. entity RT4G150Lcg1657 is generic (PHYSICAL_PIN_MAP : string := "cg1657"); port( DEVRST_N :linkage bit; FDDR_E_IMP_CALIB:linkage bit; FDDR_W_IMP_CALIB:linkage bit; IO_A4 :inout bit; IO_A5 :inout bit; IO_A7 :inout bit; IO_A8 :inout bit; IO_A9 :inout bit; IO_B3 :inout bit; IO_B5 :inout bit; IO_B6 :inout bit; IO_B7 :inout bit; IO_B8 :inout bit; IO_C3 :inout bit; IO_C4 :inout bit; IO_C5 :inout bit; IO_C6 :inout bit; IO_C8 :inout bit; IO_C9 :inout bit; IO_D1 :inout bit; IO_D2 :inout bit; IO_D3 :inout bit; IO_D4 :inout bit; IO_D6 :inout bit; IO_D7 :inout bit; IO_D8 :inout bit; IO_D9 :inout bit; IO_E1 :inout bit; IO_E2 :inout bit; IO_E4 :inout bit; IO_E5 :inout bit; IO_E6 :inout bit; IO_E7 :inout bit; IO_E9 :inout bit; IO_F2 :inout bit; IO_F3 :inout bit; IO_F4 :inout bit; IO_F5 :inout bit; IO_F7 :inout bit; IO_F8 :inout bit; IO_F9 :inout bit; IO_G1 :inout bit; IO_G2 :inout bit; IO_G3 :inout bit; IO_G5 :inout bit; IO_G6 :inout bit; IO_G7 :inout bit; IO_G8 :inout bit; IO_H1 :inout bit; IO_H3 :inout bit; IO_H4 :inout bit; IO_H5 :inout bit; IO_H6 :inout bit; IO_H8 :inout bit; IO_H9 :inout bit; IO_J1 :inout bit; IO_J2 :inout bit; IO_J3 :inout bit; IO_J4 :inout bit; IO_J6 :inout bit; IO_J7 :inout bit; IO_J8 :inout bit; IO_J9 :inout bit; IO_K1 :inout bit; IO_K2 :inout bit; IO_K4 :inout bit; IO_K5 :inout bit; IO_K6 :inout bit; IO_K7 :inout bit; IO_K9 :inout bit; IO_L2 :inout bit; IO_L3 :inout bit; IO_L4 :inout bit; IO_L5 :inout bit; IO_L7 :inout bit; IO_L8 :inout bit; IO_L9 :inout bit; IO_M1 :inout bit; IO_M2 :inout bit; IO_M3 :inout bit; IO_M5 :inout bit; IO_M6 :inout bit; IO_M7 :inout bit; IO_M8 :inout bit; IO_N1 :inout bit; IO_N3 :inout bit; IO_N4 :inout bit; IO_N5 :inout bit; IO_N6 :inout bit; IO_N8 :inout bit; IO_N9 :inout bit; IO_P1 :inout bit; IO_P2 :inout bit; IO_P3 :inout bit; IO_P4 :inout bit; IO_P6 :inout bit; IO_P7 :inout bit; IO_P8 :inout bit; IO_P9 :inout bit; IO_R1 :inout bit; IO_R2 :inout bit; IO_R4 :inout bit; IO_R5 :inout bit; IO_R6 :inout bit; IO_R7 :inout bit; IO_R9 :inout bit; IO_T2 :inout bit; IO_T3 :inout bit; IO_T4 :inout bit; IO_T5 :inout bit; IO_T7 :inout bit; IO_T8 :inout bit; IO_T9 :inout bit; IO_U1 :inout bit; IO_U2 :inout bit; IO_U3 :inout bit; IO_U5 :inout bit; IO_U6 :inout bit; IO_U7 :inout bit; IO_U8 :inout bit; IO_V1 :inout bit; IO_V3 :inout bit; IO_V4 :inout bit; IO_V5 :inout bit; IO_V6 :inout bit; IO_V8 :inout bit; IO_V9 :inout bit; IO_W1 :inout bit; IO_W2 :inout bit; IO_W3 :inout bit; IO_W4 :inout bit; IO_W6 :inout bit; IO_W7 :inout bit; IO_W8 :inout bit; IO_W9 :inout bit; IO_Y1 :inout bit; IO_Y2 :inout bit; IO_Y4 :inout bit; IO_Y5 :inout bit; IO_Y6 :inout bit; IO_Y7 :inout bit; IO_Y9 :inout bit; IO_A10 :inout bit; IO_A12 :inout bit; IO_A13 :inout bit; IO_A14 :inout bit; IO_A15 :inout bit; IO_A17 :inout bit; IO_A18 :inout bit; IO_A19 :inout bit; IO_A20 :inout bit; IO_A22 :inout bit; IO_A23 :inout bit; IO_A24 :inout bit; IO_A25 :inout bit; IO_A27 :inout bit; IO_A28 :inout bit; IO_A29 :inout bit; IO_A30 :inout bit; IO_A32 :inout bit; IO_A33 :inout bit; IO_A34 :inout bit; IO_A35 :inout bit; IO_A37 :inout bit; IO_A38 :inout bit; IO_AA2 :inout bit; IO_AA3 :inout bit; IO_AA4 :inout bit; IO_AA5 :inout bit; IO_AA7 :inout bit; IO_AA8 :inout bit; IO_AA9 :inout bit; IO_AB1 :inout bit; IO_AB2 :inout bit; IO_AB3 :inout bit; IO_AB5 :inout bit; IO_AB6 :inout bit; IO_AB7 :inout bit; IO_AB8 :inout bit; IO_AC1 :inout bit; IO_AC3 :inout bit; IO_AC4 :inout bit; IO_AC5 :inout bit; IO_AC6 :inout bit; IO_AC8 :inout bit; IO_AC9 :inout bit; IO_AD1 :inout bit; IO_AD2 :inout bit; IO_AD3 :inout bit; IO_AD4 :inout bit; IO_AD6 :inout bit; IO_AD7 :inout bit; IO_AD8 :inout bit; IO_AD9 :inout bit; IO_AE1 :inout bit; IO_AE2 :inout bit; IO_AE4 :inout bit; IO_AE5 :inout bit; IO_AE6 :inout bit; IO_AE7 :inout bit; IO_AE9 :inout bit; IO_AF2 :inout bit; IO_AF3 :inout bit; IO_AF4 :inout bit; IO_AF5 :inout bit; IO_AF7 :inout bit; IO_AF8 :inout bit; IO_AF9 :inout bit; IO_AG1 :inout bit; IO_AG2 :inout bit; IO_AG3 :inout bit; IO_AG5 :inout bit; IO_AG6 :inout bit; IO_AG7 :inout bit; IO_AG8 :inout bit; IO_AH1 :inout bit; IO_AH3 :inout bit; IO_AH4 :inout bit; IO_AH5 :inout bit; IO_AH6 :inout bit; IO_AH8 :inout bit; IO_AH9 :inout bit; IO_AJ1 :inout bit; IO_AJ2 :inout bit; IO_AJ3 :inout bit; IO_AJ4 :inout bit; IO_AJ6 :inout bit; IO_AJ7 :inout bit; IO_AJ8 :inout bit; IO_AJ9 :inout bit; IO_AK1 :inout bit; IO_AK2 :inout bit; IO_AK4 :inout bit; IO_AK5 :inout bit; IO_AK6 :inout bit; IO_AK7 :inout bit; IO_AK9 :inout bit; IO_AL2 :inout bit; IO_AL3 :inout bit; IO_AL4 :inout bit; IO_AL5 :inout bit; IO_AL7 :inout bit; IO_AL8 :inout bit; IO_AL9 :inout bit; IO_AM1 :inout bit; IO_AM2 :inout bit; IO_AM3 :inout bit; IO_AM5 :inout bit; IO_AM6 :inout bit; IO_AM7 :inout bit; IO_AM8 :inout bit; IO_AN1 :inout bit; IO_AN3 :inout bit; IO_AN4 :inout bit; IO_AN5 :inout bit; IO_AN6 :inout bit; IO_AT3 :linkage bit; IO_AT5 :linkage bit; IO_AT7 :in bit; IO_AT9 :linkage bit; IO_AU7 :in bit; IO_AV4 :linkage bit; IO_AV6 :linkage bit; IO_AV8 :linkage bit; IO_AW4 :linkage bit; IO_AY5 :linkage bit; IO_AY7 :linkage bit; IO_AY9 :linkage bit; IO_B10 :inout bit; IO_B11 :inout bit; IO_B12 :inout bit; IO_B13 :inout bit; IO_B15 :inout bit; IO_B16 :inout bit; IO_B17 :inout bit; IO_B18 :inout bit; IO_B20 :inout bit; IO_B21 :inout bit; IO_B22 :inout bit; IO_B24 :inout bit; IO_B25 :inout bit; IO_B26 :inout bit; IO_B27 :inout bit; IO_B29 :inout bit; IO_B30 :inout bit; IO_B31 :inout bit; IO_B32 :inout bit; IO_B34 :inout bit; IO_B35 :inout bit; IO_B36 :inout bit; IO_B37 :inout bit; IO_B39 :inout bit; IO_BA5 :linkage bit; IO_BA7 :linkage bit; IO_BA9 :linkage bit; IO_C10 :inout bit; IO_C11 :inout bit; IO_C13 :inout bit; IO_C14 :inout bit; IO_C15 :inout bit; IO_C16 :inout bit; IO_C18 :inout bit; IO_C19 :inout bit; IO_C20 :inout bit; IO_C21 :inout bit; IO_C22 :inout bit; IO_C23 :inout bit; IO_C24 :inout bit; IO_C26 :inout bit; IO_C27 :inout bit; IO_C28 :inout bit; IO_C29 :inout bit; IO_C31 :inout bit; IO_C32 :inout bit; IO_C33 :inout bit; IO_C34 :inout bit; IO_C36 :inout bit; IO_C37 :inout bit; IO_C38 :inout bit; IO_C39 :inout bit; IO_D11 :inout bit; IO_D12 :inout bit; IO_D13 :inout bit; IO_D14 :inout bit; IO_D16 :inout bit; IO_D17 :inout bit; IO_D18 :inout bit; IO_D19 :inout bit; IO_D21 :inout bit; IO_D23 :inout bit; IO_D24 :inout bit; IO_D25 :inout bit; IO_D26 :inout bit; IO_D28 :inout bit; IO_D29 :inout bit; IO_D30 :inout bit; IO_D31 :inout bit; IO_D33 :inout bit; IO_D34 :inout bit; IO_D35 :inout bit; IO_D36 :inout bit; IO_D38 :inout bit; IO_D39 :inout bit; IO_D40 :inout bit; IO_D41 :inout bit; IO_E10 :inout bit; IO_E11 :inout bit; IO_E12 :inout bit; IO_E14 :inout bit; IO_E15 :inout bit; IO_E16 :inout bit; IO_E17 :inout bit; IO_E19 :inout bit; IO_E20 :inout bit; IO_E21 :inout bit; IO_E22 :inout bit; IO_E23 :inout bit; IO_E25 :inout bit; IO_E26 :inout bit; IO_E27 :inout bit; IO_E28 :inout bit; IO_E30 :inout bit; IO_E31 :inout bit; IO_E32 :inout bit; IO_E33 :inout bit; IO_E35 :inout bit; IO_E36 :inout bit; IO_E37 :inout bit; IO_E38 :inout bit; IO_E40 :inout bit; IO_E41 :inout bit; IO_F10 :inout bit; IO_F12 :inout bit; IO_F13 :inout bit; IO_F14 :inout bit; IO_F15 :inout bit; IO_F17 :inout bit; IO_F18 :inout bit; IO_F19 :inout bit; IO_F20 :inout bit; IO_F22 :inout bit; IO_F23 :inout bit; IO_F24 :inout bit; IO_F25 :inout bit; IO_F27 :inout bit; IO_F28 :inout bit; IO_F29 :inout bit; IO_F30 :inout bit; IO_F32 :inout bit; IO_F33 :inout bit; IO_F34 :inout bit; IO_F35 :inout bit; IO_F37 :inout bit; IO_F38 :inout bit; IO_F39 :inout bit; IO_F40 :inout bit; IO_G10 :inout bit; IO_G11 :inout bit; IO_G12 :inout bit; IO_G13 :inout bit; IO_G15 :inout bit; IO_G16 :inout bit; IO_G17 :inout bit; IO_G18 :inout bit; IO_G20 :inout bit; IO_G21 :inout bit; IO_G22 :inout bit; IO_G24 :inout bit; IO_G25 :inout bit; IO_G26 :inout bit; IO_G27 :inout bit; IO_G29 :inout bit; IO_G30 :inout bit; IO_G31 :inout bit; IO_G32 :inout bit; IO_G34 :inout bit; IO_G36 :inout bit; IO_G37 :inout bit; IO_G39 :inout bit; IO_G40 :inout bit; IO_G41 :inout bit; IO_H10 :inout bit; IO_H11 :inout bit; IO_H13 :inout bit; IO_H14 :inout bit; IO_H15 :inout bit; IO_H16 :inout bit; IO_H18 :inout bit; IO_H19 :inout bit; IO_H20 :inout bit; IO_H21 :inout bit; IO_H22 :inout bit; IO_H23 :inout bit; IO_H24 :inout bit; IO_H26 :inout bit; IO_H27 :inout bit; IO_H28 :inout bit; IO_H29 :inout bit; IO_H31 :inout bit; IO_H32 :inout bit; IO_H36 :inout bit; IO_H37 :inout bit; IO_H38 :inout bit; IO_H39 :inout bit; IO_H41 :inout bit; IO_J11 :inout bit; IO_J12 :inout bit; IO_J13 :inout bit; IO_J14 :inout bit; IO_J16 :inout bit; IO_J17 :inout bit; IO_J18 :inout bit; IO_J19 :inout bit; IO_J23 :inout bit; IO_J24 :inout bit; IO_J25 :inout bit; IO_J26 :inout bit; IO_J28 :inout bit; IO_J29 :inout bit; IO_J30 :inout bit; IO_J31 :inout bit; IO_J34 :inout bit; IO_J35 :inout bit; IO_J36 :inout bit; IO_J38 :inout bit; IO_J39 :inout bit; IO_J40 :inout bit; IO_J41 :inout bit; IO_K10 :inout bit; IO_K11 :inout bit; IO_K12 :inout bit; IO_K14 :inout bit; IO_K15 :inout bit; IO_K16 :inout bit; IO_K17 :inout bit; IO_K19 :inout bit; IO_K20 :inout bit; IO_K21 :inout bit; IO_K22 :inout bit; IO_K23 :inout bit; IO_K25 :inout bit; IO_K26 :inout bit; IO_K27 :inout bit; IO_K28 :inout bit; IO_K32 :inout bit; IO_K33 :inout bit; IO_K35 :inout bit; IO_K36 :inout bit; IO_K37 :inout bit; IO_K38 :inout bit; IO_K40 :inout bit; IO_K41 :inout bit; IO_L10 :inout bit; IO_L12 :inout bit; IO_L20 :inout bit; IO_L22 :inout bit; IO_L30 :inout bit; IO_L32 :inout bit; IO_L33 :inout bit; IO_L34 :inout bit; IO_L35 :inout bit; IO_L37 :inout bit; IO_L38 :inout bit; IO_L39 :inout bit; IO_L40 :inout bit; IO_M10 :inout bit; IO_M11 :inout bit; IO_M12 :inout bit; IO_M30 :inout bit; IO_M31 :inout bit; IO_M32 :inout bit; IO_M34 :inout bit; IO_M35 :inout bit; IO_M36 :inout bit; IO_M37 :inout bit; IO_M39 :inout bit; IO_M40 :inout bit; IO_M41 :inout bit; IO_N10 :inout bit; IO_N11 :inout bit; IO_N12 :inout bit; IO_N30 :inout bit; IO_N31 :inout bit; IO_N32 :inout bit; IO_N33 :inout bit; IO_N34 :inout bit; IO_N36 :inout bit; IO_N37 :inout bit; IO_N38 :inout bit; IO_N39 :inout bit; IO_N41 :inout bit; IO_P11 :inout bit; IO_P31 :inout bit; IO_P33 :inout bit; IO_P34 :inout bit; IO_P35 :inout bit; IO_P36 :inout bit; IO_P38 :inout bit; IO_P39 :inout bit; IO_P40 :inout bit; IO_P41 :inout bit; IO_R10 :inout bit; IO_R11 :inout bit; IO_R12 :inout bit; IO_R30 :inout bit; IO_R31 :inout bit; IO_R32 :inout bit; IO_R33 :inout bit; IO_R35 :inout bit; IO_R36 :inout bit; IO_R37 :inout bit; IO_R38 :inout bit; IO_R40 :inout bit; IO_R41 :inout bit; IO_T10 :inout bit; IO_T12 :inout bit; IO_T32 :inout bit; IO_T33 :inout bit; IO_T34 :inout bit; IO_T35 :inout bit; IO_T37 :inout bit; IO_T38 :inout bit; IO_T39 :inout bit; IO_T40 :inout bit; IO_U10 :inout bit; IO_U11 :inout bit; IO_U12 :inout bit; IO_U30 :inout bit; IO_U31 :inout bit; IO_U32 :inout bit; IO_U34 :inout bit; IO_U35 :inout bit; IO_U36 :inout bit; IO_U37 :inout bit; IO_U39 :inout bit; IO_U40 :inout bit; IO_U41 :inout bit; IO_V10 :inout bit; IO_V11 :inout bit; IO_V12 :inout bit; IO_V30 :inout bit; IO_V31 :inout bit; IO_V32 :inout bit; IO_V33 :inout bit; IO_V34 :inout bit; IO_V36 :inout bit; IO_V37 :inout bit; IO_V38 :inout bit; IO_V39 :inout bit; IO_V41 :inout bit; IO_W11 :inout bit; IO_W12 :inout bit; IO_W30 :inout bit; IO_W31 :inout bit; IO_W33 :inout bit; IO_W34 :inout bit; IO_W35 :inout bit; IO_W36 :inout bit; IO_W38 :inout bit; IO_W39 :inout bit; IO_W40 :inout bit; IO_W41 :inout bit; IO_Y10 :inout bit; IO_Y11 :inout bit; IO_Y31 :inout bit; IO_Y32 :inout bit; IO_Y33 :inout bit; IO_Y35 :inout bit; IO_Y36 :inout bit; IO_Y37 :inout bit; IO_Y38 :inout bit; IO_Y40 :inout bit; IO_Y41 :inout bit; IO_AA10 :inout bit; IO_AA12 :inout bit; IO_AA30 :inout bit; IO_AA32 :inout bit; IO_AA33 :inout bit; IO_AA34 :inout bit; IO_AA35 :inout bit; IO_AA37 :inout bit; IO_AA38 :inout bit; IO_AA39 :inout bit; IO_AA40 :inout bit; IO_AB10 :inout bit; IO_AB11 :inout bit; IO_AB12 :inout bit; IO_AB30 :inout bit; IO_AB31 :inout bit; IO_AB32 :inout bit; IO_AB34 :inout bit; IO_AB35 :inout bit; IO_AB36 :inout bit; IO_AB37 :inout bit; IO_AB39 :inout bit; IO_AB40 :inout bit; IO_AB41 :inout bit; IO_AC10 :inout bit; IO_AC11 :inout bit; IO_AC31 :inout bit; IO_AC32 :inout bit; IO_AC33 :inout bit; IO_AC34 :inout bit; IO_AC36 :inout bit; IO_AC37 :inout bit; IO_AC38 :inout bit; IO_AC39 :inout bit; IO_AC41 :inout bit; IO_AD11 :inout bit; IO_AD31 :inout bit; IO_AD33 :inout bit; IO_AD34 :inout bit; IO_AD35 :inout bit; IO_AD36 :inout bit; IO_AD38 :inout bit; IO_AD39 :inout bit; IO_AD40 :inout bit; IO_AD41 :inout bit; IO_AE10 :inout bit; IO_AE11 :inout bit; IO_AE31 :inout bit; IO_AE32 :inout bit; IO_AE33 :inout bit; IO_AE35 :inout bit; IO_AE36 :inout bit; IO_AE37 :inout bit; IO_AE38 :inout bit; IO_AE40 :inout bit; IO_AE41 :inout bit; IO_AF10 :inout bit; IO_AF32 :inout bit; IO_AF33 :inout bit; IO_AF34 :inout bit; IO_AF35 :inout bit; IO_AF37 :inout bit; IO_AF38 :inout bit; IO_AF39 :inout bit; IO_AF40 :inout bit; IO_AG10 :inout bit; IO_AG11 :inout bit; IO_AG31 :inout bit; IO_AG32 :inout bit; IO_AG34 :inout bit; IO_AG35 :inout bit; IO_AG36 :inout bit; IO_AG37 :inout bit; IO_AG39 :inout bit; IO_AG40 :inout bit; IO_AG41 :inout bit; IO_AH10 :inout bit; IO_AH11 :inout bit; IO_AH31 :inout bit; IO_AH32 :inout bit; IO_AH33 :inout bit; IO_AH34 :inout bit; IO_AH36 :inout bit; IO_AH37 :inout bit; IO_AH38 :inout bit; IO_AH39 :inout bit; IO_AH41 :inout bit; IO_AJ11 :inout bit; IO_AJ31 :inout bit; IO_AJ33 :inout bit; IO_AJ34 :inout bit; IO_AJ35 :inout bit; IO_AJ36 :inout bit; IO_AJ38 :inout bit; IO_AJ39 :inout bit; IO_AJ40 :inout bit; IO_AJ41 :inout bit; IO_AK10 :inout bit; IO_AK32 :inout bit; IO_AK33 :inout bit; IO_AK35 :inout bit; IO_AK36 :inout bit; IO_AK37 :inout bit; IO_AK38 :inout bit; IO_AK40 :inout bit; IO_AK41 :inout bit; IO_AL33 :inout bit; IO_AL34 :inout bit; IO_AL35 :inout bit; IO_AL37 :inout bit; IO_AL38 :inout bit; IO_AL39 :inout bit; IO_AL40 :inout bit; IO_AM34 :inout bit; IO_AM35 :inout bit; IO_AM36 :inout bit; IO_AM37 :inout bit; IO_AM39 :inout bit; IO_AM40 :inout bit; IO_AM41 :inout bit; IO_AN36 :inout bit; IO_AN37 :inout bit; IO_AN38 :inout bit; IO_AN39 :inout bit; IO_AN41 :inout bit; IO_AP12 :in bit; IO_AP16 :in bit; IO_AP26 :in bit; IO_AP30 :in bit; IO_AR12 :in bit; IO_AR16 :in bit; IO_AR26 :in bit; IO_AR30 :in bit; IO_AT11 :linkage bit; IO_AT13 :linkage bit; IO_AT15 :linkage bit; IO_AT17 :linkage bit; IO_AT19 :linkage bit; IO_AT35 :in bit; IO_AU23 :linkage bit; IO_AU25 :linkage bit; IO_AU27 :linkage bit; IO_AU29 :linkage bit; IO_AU31 :linkage bit; IO_AU33 :linkage bit; IO_AU35 :in bit; IO_AU37 :linkage bit; IO_AU39 :linkage bit; IO_AV10 :linkage bit; IO_AV12 :linkage bit; IO_AV14 :linkage bit; IO_AV16 :linkage bit; IO_AV18 :linkage bit; IO_AV26 :linkage bit; IO_AV30 :linkage bit; IO_AV32 :linkage bit; IO_AV38 :linkage bit; IO_AW10 :linkage bit; IO_AW12 :linkage bit; IO_AW16 :linkage bit; IO_AW24 :linkage bit; IO_AW26 :linkage bit; IO_AW28 :linkage bit; IO_AW30 :linkage bit; IO_AW32 :linkage bit; IO_AW34 :linkage bit; IO_AW36 :linkage bit; IO_AW38 :linkage bit; IO_AY11 :linkage bit; IO_AY13 :linkage bit; IO_AY15 :linkage bit; IO_AY17 :linkage bit; IO_AY19 :linkage bit; IO_AY23 :linkage bit; IO_AY25 :linkage bit; IO_AY27 :linkage bit; IO_AY29 :linkage bit; IO_AY31 :linkage bit; IO_AY33 :linkage bit; IO_AY35 :linkage bit; IO_AY37 :linkage bit; IO_BA11 :linkage bit; IO_BA13 :linkage bit; IO_BA15 :linkage bit; IO_BA17 :linkage bit; IO_BA19 :linkage bit; IO_BA23 :linkage bit; IO_BA25 :linkage bit; IO_BA27 :linkage bit; IO_BA29 :linkage bit; IO_BA31 :linkage bit; IO_BA33 :linkage bit; IO_BA35 :linkage bit; IO_BA37 :linkage bit; NC :linkage bit_vector (0 to 44 ); SERDES_VDDI :linkage bit_vector (0 to 3 ); SERDES_VREF :linkage bit_vector (0 to 1 ); SERDES_1_TXD0_P:linkage bit; SERDES_1_TXD1_P:linkage bit; SERDES_1_TXD2_P:linkage bit; SERDES_1_TXD3_P:linkage bit; SERDES_2_TXD0_P:linkage bit; SERDES_2_TXD1_P:linkage bit; SERDES_2_TXD2_P:linkage bit; SERDES_2_TXD3_P:linkage bit; SERDES_3_TXD0_P:linkage bit; SERDES_3_TXD1_P:linkage bit; SERDES_3_TXD2_P:linkage bit; SERDES_3_TXD3_P:linkage bit; SERDES_4_TXD0_P:linkage bit; SERDES_4_TXD1_P:linkage bit; SERDES_4_TXD2_P:linkage bit; SERDES_4_TXD3_P:linkage bit; SERDES_1_L01_REXT:linkage bit; SERDES_1_L23_REXT:linkage bit; SERDES_2_L01_REXT:linkage bit; SERDES_2_L23_REXT:linkage bit; SERDES_3_L01_REXT:linkage bit; SERDES_3_L23_REXT:linkage bit; SERDES_4_L01_REXT:linkage bit; SERDES_4_L23_REXT:linkage bit; SERDES_1_L01_REFRET:linkage bit; SERDES_1_L23_REFRET:linkage bit; SERDES_2_L01_REFRET:linkage bit; SERDES_2_L23_REFRET:linkage bit; SERDES_3_L01_REFRET:linkage bit; SERDES_3_L23_REFRET:linkage bit; SERDES_4_L01_REFRET:linkage bit; SERDES_4_L23_REFRET:linkage bit; SERDES_PCIE_0_TXD0_P:linkage bit; SERDES_PCIE_0_TXD1_P:linkage bit; SERDES_PCIE_0_TXD2_P:linkage bit; SERDES_PCIE_0_TXD3_P:linkage bit; SERDES_PCIE_5_TXD0_P:linkage bit; SERDES_PCIE_5_TXD1_P:linkage bit; SERDES_PCIE_5_TXD2_P:linkage bit; SERDES_PCIE_5_TXD3_P:linkage bit; SERDES_PCIE_0_L01_REXT:linkage bit; SERDES_PCIE_0_L23_REXT:linkage bit; SERDES_PCIE_5_L01_REXT:linkage bit; SERDES_PCIE_5_L23_REXT:linkage bit; SERDES_PCIE_0_L01_REFRET:linkage bit; SERDES_PCIE_0_L23_REFRET:linkage bit; SERDES_PCIE_5_L01_REFRET:linkage bit; SERDES_PCIE_5_L23_REFRET:linkage bit; TCK :in bit; TDI :in bit; TDO :out bit; TEMP_MONITOR:linkage bit; TMS :in bit; TRST :in bit; VDD :linkage bit_vector (0 to 81 ); VDDA0 :linkage bit_vector (0 to 83 ); VDDI0 :linkage bit_vector (0 to 19 ); VDDI1 :linkage bit_vector (0 to 15 ); VDDI2 :linkage bit_vector (0 to 15 ); VDDI3 :linkage bit; VDDI4 :linkage bit_vector (0 to 14 ); VDDI5 :linkage bit_vector (0 to 15 ); VDDI6 :linkage bit_vector (0 to 14 ); VDDI7 :linkage bit_vector (0 to 15 ); VDDI8 :linkage bit_vector (0 to 15 ); VDDI9 :linkage bit_vector (0 to 19 ); VDDPLL :linkage bit_vector (0 to 12 ); VPP :linkage bit_vector (0 to 8 ); VREF0 :linkage bit_vector (0 to 1 ); VREF9 :linkage bit_vector (0 to 1 ); VSS :linkage bit_vector (0 to 401 ) ); use STD_1149_1_1994.all; attribute COMPONENT_CONFORMANCE of RT4G150Lcg1657: entity is "STD_1149_1_1993"; attribute PIN_MAP of RT4G150Lcg1657 : entity is PHYSICAL_PIN_MAP; constant cg1657 : PIN_MAP_STRING:= "DEVRST_N :J33, "& "FDDR_E_IMP_CALIB:AN34, "& "FDDR_W_IMP_CALIB:AN8, "& "IO_A4 :A4, "& "IO_A5 :A5, "& "IO_A7 :A7, "& "IO_A8 :A8, "& "IO_A9 :A9, "& "IO_B3 :B3, "& "IO_B5 :B5, "& "IO_B6 :B6, "& "IO_B7 :B7, "& "IO_B8 :B8, "& "IO_C3 :C3, "& "IO_C4 :C4, "& "IO_C5 :C5, "& "IO_C6 :C6, "& "IO_C8 :C8, "& "IO_C9 :C9, "& "IO_D1 :D1, "& "IO_D2 :D2, "& "IO_D3 :D3, "& "IO_D4 :D4, "& "IO_D6 :D6, "& "IO_D7 :D7, "& "IO_D8 :D8, "& "IO_D9 :D9, "& "IO_E1 :E1, "& "IO_E2 :E2, "& "IO_E4 :E4, "& "IO_E5 :E5, "& "IO_E6 :E6, "& "IO_E7 :E7, "& "IO_E9 :E9, "& "IO_F2 :F2, "& "IO_F3 :F3, "& "IO_F4 :F4, "& "IO_F5 :F5, "& "IO_F7 :F7, "& "IO_F8 :F8, "& "IO_F9 :F9, "& "IO_G1 :G1, "& "IO_G2 :G2, "& "IO_G3 :G3, "& "IO_G5 :G5, "& "IO_G6 :G6, "& "IO_G7 :G7, "& "IO_G8 :G8, "& "IO_H1 :H1, "& "IO_H3 :H3, "& "IO_H4 :H4, "& "IO_H5 :H5, "& "IO_H6 :H6, "& "IO_H8 :H8, "& "IO_H9 :H9, "& "IO_J1 :J1, "& "IO_J2 :J2, "& "IO_J3 :J3, "& "IO_J4 :J4, "& "IO_J6 :J6, "& "IO_J7 :J7, "& "IO_J8 :J8, "& "IO_J9 :J9, "& "IO_K1 :K1, "& "IO_K2 :K2, "& "IO_K4 :K4, "& "IO_K5 :K5, "& "IO_K6 :K6, "& "IO_K7 :K7, "& "IO_K9 :K9, "& "IO_L2 :L2, "& "IO_L3 :L3, "& "IO_L4 :L4, "& "IO_L5 :L5, "& "IO_L7 :L7, "& "IO_L8 :L8, "& "IO_L9 :L9, "& "IO_M1 :M1, "& "IO_M2 :M2, "& "IO_M3 :M3, "& "IO_M5 :M5, "& "IO_M6 :M6, "& "IO_M7 :M7, "& "IO_M8 :M8, "& "IO_N1 :N1, "& "IO_N3 :N3, "& "IO_N4 :N4, "& "IO_N5 :N5, "& "IO_N6 :N6, "& "IO_N8 :N8, "& "IO_N9 :N9, "& "IO_P1 :P1, "& "IO_P2 :P2, "& "IO_P3 :P3, "& "IO_P4 :P4, "& "IO_P6 :P6, "& "IO_P7 :P7, "& "IO_P8 :P8, "& "IO_P9 :P9, "& "IO_R1 :R1, "& "IO_R2 :R2, "& "IO_R4 :R4, "& "IO_R5 :R5, "& "IO_R6 :R6, "& "IO_R7 :R7, "& "IO_R9 :R9, "& "IO_T2 :T2, "& "IO_T3 :T3, "& "IO_T4 :T4, "& "IO_T5 :T5, "& "IO_T7 :T7, "& "IO_T8 :T8, "& "IO_T9 :T9, "& "IO_U1 :U1, "& "IO_U2 :U2, "& "IO_U3 :U3, "& "IO_U5 :U5, "& "IO_U6 :U6, "& "IO_U7 :U7, "& "IO_U8 :U8, "& "IO_V1 :V1, "& "IO_V3 :V3, "& "IO_V4 :V4, "& "IO_V5 :V5, "& "IO_V6 :V6, "& "IO_V8 :V8, "& "IO_V9 :V9, "& "IO_W1 :W1, "& "IO_W2 :W2, "& "IO_W3 :W3, "& "IO_W4 :W4, "& "IO_W6 :W6, "& "IO_W7 :W7, "& "IO_W8 :W8, "& "IO_W9 :W9, "& "IO_Y1 :Y1, "& "IO_Y2 :Y2, "& "IO_Y4 :Y4, "& "IO_Y5 :Y5, "& "IO_Y6 :Y6, "& "IO_Y7 :Y7, "& "IO_Y9 :Y9, "& "IO_A10 :A10, "& "IO_A12 :A12, "& "IO_A13 :A13, "& "IO_A14 :A14, "& "IO_A15 :A15, "& "IO_A17 :A17, "& "IO_A18 :A18, "& "IO_A19 :A19, "& "IO_A20 :A20, "& "IO_A22 :A22, "& "IO_A23 :A23, "& "IO_A24 :A24, "& "IO_A25 :A25, "& "IO_A27 :A27, "& "IO_A28 :A28, "& "IO_A29 :A29, "& "IO_A30 :A30, "& "IO_A32 :A32, "& "IO_A33 :A33, "& "IO_A34 :A34, "& "IO_A35 :A35, "& "IO_A37 :A37, "& "IO_A38 :A38, "& "IO_AA2 :AA2, "& "IO_AA3 :AA3, "& "IO_AA4 :AA4, "& "IO_AA5 :AA5, "& "IO_AA7 :AA7, "& "IO_AA8 :AA8, "& "IO_AA9 :AA9, "& "IO_AB1 :AB1, "& "IO_AB2 :AB2, "& "IO_AB3 :AB3, "& "IO_AB5 :AB5, "& "IO_AB6 :AB6, "& "IO_AB7 :AB7, "& "IO_AB8 :AB8, "& "IO_AC1 :AC1, "& "IO_AC3 :AC3, "& "IO_AC4 :AC4, "& "IO_AC5 :AC5, "& "IO_AC6 :AC6, "& "IO_AC8 :AC8, "& "IO_AC9 :AC9, "& "IO_AD1 :AD1, "& "IO_AD2 :AD2, "& "IO_AD3 :AD3, "& "IO_AD4 :AD4, "& "IO_AD6 :AD6, "& "IO_AD7 :AD7, "& "IO_AD8 :AD8, "& "IO_AD9 :AD9, "& "IO_AE1 :AE1, "& "IO_AE2 :AE2, "& "IO_AE4 :AE4, "& "IO_AE5 :AE5, "& "IO_AE6 :AE6, "& "IO_AE7 :AE7, "& "IO_AE9 :AE9, "& "IO_AF2 :AF2, "& "IO_AF3 :AF3, "& "IO_AF4 :AF4, "& "IO_AF5 :AF5, "& "IO_AF7 :AF7, "& "IO_AF8 :AF8, "& "IO_AF9 :AF9, "& "IO_AG1 :AG1, "& "IO_AG2 :AG2, "& "IO_AG3 :AG3, "& "IO_AG5 :AG5, "& "IO_AG6 :AG6, "& "IO_AG7 :AG7, "& "IO_AG8 :AG8, "& "IO_AH1 :AH1, "& "IO_AH3 :AH3, "& "IO_AH4 :AH4, "& "IO_AH5 :AH5, "& "IO_AH6 :AH6, "& "IO_AH8 :AH8, "& "IO_AH9 :AH9, "& "IO_AJ1 :AJ1, "& "IO_AJ2 :AJ2, "& "IO_AJ3 :AJ3, "& "IO_AJ4 :AJ4, "& "IO_AJ6 :AJ6, "& "IO_AJ7 :AJ7, "& "IO_AJ8 :AJ8, "& "IO_AJ9 :AJ9, "& "IO_AK1 :AK1, "& "IO_AK2 :AK2, "& "IO_AK4 :AK4, "& "IO_AK5 :AK5, "& "IO_AK6 :AK6, "& "IO_AK7 :AK7, "& "IO_AK9 :AK9, "& "IO_AL2 :AL2, "& "IO_AL3 :AL3, "& "IO_AL4 :AL4, "& "IO_AL5 :AL5, "& "IO_AL7 :AL7, "& "IO_AL8 :AL8, "& "IO_AL9 :AL9, "& "IO_AM1 :AM1, "& "IO_AM2 :AM2, "& "IO_AM3 :AM3, "& "IO_AM5 :AM5, "& "IO_AM6 :AM6, "& "IO_AM7 :AM7, "& "IO_AM8 :AM8, "& "IO_AN1 :AN1, "& "IO_AN3 :AN3, "& "IO_AN4 :AN4, "& "IO_AN5 :AN5, "& "IO_AN6 :AN6, "& "IO_AT3 :AT3, "& "IO_AT5 :AT5, "& "IO_AT7 :AT7, "& "IO_AT9 :AT9, "& "IO_AU7 :AU7, "& "IO_AV4 :AV4, "& "IO_AV6 :AV6, "& "IO_AV8 :AV8, "& "IO_AW4 :AW4, "& "IO_AY5 :AY5, "& "IO_AY7 :AY7, "& "IO_AY9 :AY9, "& "IO_B10 :B10, "& "IO_B11 :B11, "& "IO_B12 :B12, "& "IO_B13 :B13, "& "IO_B15 :B15, "& "IO_B16 :B16, "& "IO_B17 :B17, "& "IO_B18 :B18, "& "IO_B20 :B20, "& "IO_B21 :B21, "& "IO_B22 :B22, "& "IO_B24 :B24, "& "IO_B25 :B25, "& "IO_B26 :B26, "& "IO_B27 :B27, "& "IO_B29 :B29, "& "IO_B30 :B30, "& "IO_B31 :B31, "& "IO_B32 :B32, "& "IO_B34 :B34, "& "IO_B35 :B35, "& "IO_B36 :B36, "& "IO_B37 :B37, "& "IO_B39 :B39, "& "IO_BA5 :BA5, "& "IO_BA7 :BA7, "& "IO_BA9 :BA9, "& "IO_C10 :C10, "& "IO_C11 :C11, "& "IO_C13 :C13, "& "IO_C14 :C14, "& "IO_C15 :C15, "& "IO_C16 :C16, "& "IO_C18 :C18, "& "IO_C19 :C19, "& "IO_C20 :C20, "& "IO_C21 :C21, "& "IO_C22 :C22, "& "IO_C23 :C23, "& "IO_C24 :C24, "& "IO_C26 :C26, "& "IO_C27 :C27, "& "IO_C28 :C28, "& "IO_C29 :C29, "& "IO_C31 :C31, "& "IO_C32 :C32, "& "IO_C33 :C33, "& "IO_C34 :C34, "& "IO_C36 :C36, "& "IO_C37 :C37, "& "IO_C38 :C38, "& "IO_C39 :C39, "& "IO_D11 :D11, "& "IO_D12 :D12, "& "IO_D13 :D13, "& "IO_D14 :D14, "& "IO_D16 :D16, "& "IO_D17 :D17, "& "IO_D18 :D18, "& "IO_D19 :D19, "& "IO_D21 :D21, "& "IO_D23 :D23, "& "IO_D24 :D24, "& "IO_D25 :D25, "& "IO_D26 :D26, "& "IO_D28 :D28, "& "IO_D29 :D29, "& "IO_D30 :D30, "& "IO_D31 :D31, "& "IO_D33 :D33, "& "IO_D34 :D34, "& "IO_D35 :D35, "& "IO_D36 :D36, "& "IO_D38 :D38, "& "IO_D39 :D39, "& "IO_D40 :D40, "& "IO_D41 :D41, "& "IO_E10 :E10, "& "IO_E11 :E11, "& "IO_E12 :E12, "& "IO_E14 :E14, "& "IO_E15 :E15, "& "IO_E16 :E16, "& "IO_E17 :E17, "& "IO_E19 :E19, "& "IO_E20 :E20, "& "IO_E21 :E21, "& "IO_E22 :E22, "& "IO_E23 :E23, "& "IO_E25 :E25, "& "IO_E26 :E26, "& "IO_E27 :E27, "& "IO_E28 :E28, "& "IO_E30 :E30, "& "IO_E31 :E31, "& "IO_E32 :E32, "& "IO_E33 :E33, "& "IO_E35 :E35, "& "IO_E36 :E36, "& "IO_E37 :E37, "& "IO_E38 :E38, "& "IO_E40 :E40, "& "IO_E41 :E41, "& "IO_F10 :F10, "& "IO_F12 :F12, "& "IO_F13 :F13, "& "IO_F14 :F14, "& "IO_F15 :F15, "& "IO_F17 :F17, "& "IO_F18 :F18, "& "IO_F19 :F19, "& "IO_F20 :F20, "& "IO_F22 :F22, "& "IO_F23 :F23, "& "IO_F24 :F24, "& "IO_F25 :F25, "& "IO_F27 :F27, "& "IO_F28 :F28, "& "IO_F29 :F29, "& "IO_F30 :F30, "& "IO_F32 :F32, "& "IO_F33 :F33, "& "IO_F34 :F34, "& "IO_F35 :F35, "& "IO_F37 :F37, "& "IO_F38 :F38, "& "IO_F39 :F39, "& "IO_F40 :F40, "& "IO_G10 :G10, "& "IO_G11 :G11, "& "IO_G12 :G12, "& "IO_G13 :G13, "& "IO_G15 :G15, "& "IO_G16 :G16, "& "IO_G17 :G17, "& "IO_G18 :G18, "& "IO_G20 :G20, "& "IO_G21 :G21, "& "IO_G22 :G22, "& "IO_G24 :G24, "& "IO_G25 :G25, "& "IO_G26 :G26, "& "IO_G27 :G27, "& "IO_G29 :G29, "& "IO_G30 :G30, "& "IO_G31 :G31, "& "IO_G32 :G32, "& "IO_G34 :G34, "& "IO_G36 :G36, "& "IO_G37 :G37, "& "IO_G39 :G39, "& "IO_G40 :G40, "& "IO_G41 :G41, "& "IO_H10 :H10, "& "IO_H11 :H11, "& "IO_H13 :H13, "& "IO_H14 :H14, "& "IO_H15 :H15, "& "IO_H16 :H16, "& "IO_H18 :H18, "& "IO_H19 :H19, "& "IO_H20 :H20, "& "IO_H21 :H21, "& "IO_H22 :H22, "& "IO_H23 :H23, "& "IO_H24 :H24, "& "IO_H26 :H26, "& "IO_H27 :H27, "& "IO_H28 :H28, "& "IO_H29 :H29, "& "IO_H31 :H31, "& "IO_H32 :H32, "& "IO_H36 :H36, "& "IO_H37 :H37, "& "IO_H38 :H38, "& "IO_H39 :H39, "& "IO_H41 :H41, "& "IO_J11 :J11, "& "IO_J12 :J12, "& "IO_J13 :J13, "& "IO_J14 :J14, "& "IO_J16 :J16, "& "IO_J17 :J17, "& "IO_J18 :J18, "& "IO_J19 :J19, "& "IO_J23 :J23, "& "IO_J24 :J24, "& "IO_J25 :J25, "& "IO_J26 :J26, "& "IO_J28 :J28, "& "IO_J29 :J29, "& "IO_J30 :J30, "& "IO_J31 :J31, "& "IO_J34 :J34, "& "IO_J35 :J35, "& "IO_J36 :J36, "& "IO_J38 :J38, "& "IO_J39 :J39, "& "IO_J40 :J40, "& "IO_J41 :J41, "& "IO_K10 :K10, "& "IO_K11 :K11, "& "IO_K12 :K12, "& "IO_K14 :K14, "& "IO_K15 :K15, "& "IO_K16 :K16, "& "IO_K17 :K17, "& "IO_K19 :K19, "& "IO_K20 :K20, "& "IO_K21 :K21, "& "IO_K22 :K22, "& "IO_K23 :K23, "& "IO_K25 :K25, "& "IO_K26 :K26, "& "IO_K27 :K27, "& "IO_K28 :K28, "& "IO_K32 :K32, "& "IO_K33 :K33, "& "IO_K35 :K35, "& "IO_K36 :K36, "& "IO_K37 :K37, "& "IO_K38 :K38, "& "IO_K40 :K40, "& "IO_K41 :K41, "& "IO_L10 :L10, "& "IO_L12 :L12, "& "IO_L20 :L20, "& "IO_L22 :L22, "& "IO_L30 :L30, "& "IO_L32 :L32, "& "IO_L33 :L33, "& "IO_L34 :L34, "& "IO_L35 :L35, "& "IO_L37 :L37, "& "IO_L38 :L38, "& "IO_L39 :L39, "& "IO_L40 :L40, "& "IO_M10 :M10, "& "IO_M11 :M11, "& "IO_M12 :M12, "& "IO_M30 :M30, "& "IO_M31 :M31, "& "IO_M32 :M32, "& "IO_M34 :M34, "& "IO_M35 :M35, "& "IO_M36 :M36, "& "IO_M37 :M37, "& "IO_M39 :M39, "& "IO_M40 :M40, "& "IO_M41 :M41, "& "IO_N10 :N10, "& "IO_N11 :N11, "& "IO_N12 :N12, "& "IO_N30 :N30, "& "IO_N31 :N31, "& "IO_N32 :N32, "& "IO_N33 :N33, "& "IO_N34 :N34, "& "IO_N36 :N36, "& "IO_N37 :N37, "& "IO_N38 :N38, "& "IO_N39 :N39, "& "IO_N41 :N41, "& "IO_P11 :P11, "& "IO_P31 :P31, "& "IO_P33 :P33, "& "IO_P34 :P34, "& "IO_P35 :P35, "& "IO_P36 :P36, "& "IO_P38 :P38, "& "IO_P39 :P39, "& "IO_P40 :P40, "& "IO_P41 :P41, "& "IO_R10 :R10, "& "IO_R11 :R11, "& "IO_R12 :R12, "& "IO_R30 :R30, "& "IO_R31 :R31, "& "IO_R32 :R32, "& "IO_R33 :R33, "& "IO_R35 :R35, "& "IO_R36 :R36, "& "IO_R37 :R37, "& "IO_R38 :R38, "& "IO_R40 :R40, "& "IO_R41 :R41, "& "IO_T10 :T10, "& "IO_T12 :T12, "& "IO_T32 :T32, "& "IO_T33 :T33, "& "IO_T34 :T34, "& "IO_T35 :T35, "& "IO_T37 :T37, "& "IO_T38 :T38, "& "IO_T39 :T39, "& "IO_T40 :T40, "& "IO_U10 :U10, "& "IO_U11 :U11, "& "IO_U12 :U12, "& "IO_U30 :U30, "& "IO_U31 :U31, "& "IO_U32 :U32, "& "IO_U34 :U34, "& "IO_U35 :U35, "& "IO_U36 :U36, "& "IO_U37 :U37, "& "IO_U39 :U39, "& "IO_U40 :U40, "& "IO_U41 :U41, "& "IO_V10 :V10, "& "IO_V11 :V11, "& "IO_V12 :V12, "& "IO_V30 :V30, "& "IO_V31 :V31, "& "IO_V32 :V32, "& "IO_V33 :V33, "& "IO_V34 :V34, "& "IO_V36 :V36, "& "IO_V37 :V37, "& "IO_V38 :V38, "& "IO_V39 :V39, "& "IO_V41 :V41, "& "IO_W11 :W11, "& "IO_W12 :W12, "& "IO_W30 :W30, "& "IO_W31 :W31, "& "IO_W33 :W33, "& "IO_W34 :W34, "& "IO_W35 :W35, "& "IO_W36 :W36, "& "IO_W38 :W38, "& "IO_W39 :W39, "& "IO_W40 :W40, "& "IO_W41 :W41, "& "IO_Y10 :Y10, "& "IO_Y11 :Y11, "& "IO_Y31 :Y31, "& "IO_Y32 :Y32, "& "IO_Y33 :Y33, "& "IO_Y35 :Y35, "& "IO_Y36 :Y36, "& "IO_Y37 :Y37, "& "IO_Y38 :Y38, "& "IO_Y40 :Y40, "& "IO_Y41 :Y41, "& "IO_AA10 :AA10, "& "IO_AA12 :AA12, "& "IO_AA30 :AA30, "& "IO_AA32 :AA32, "& "IO_AA33 :AA33, "& "IO_AA34 :AA34, "& "IO_AA35 :AA35, "& "IO_AA37 :AA37, "& "IO_AA38 :AA38, "& "IO_AA39 :AA39, "& "IO_AA40 :AA40, "& "IO_AB10 :AB10, "& "IO_AB11 :AB11, "& "IO_AB12 :AB12, "& "IO_AB30 :AB30, "& "IO_AB31 :AB31, "& "IO_AB32 :AB32, "& "IO_AB34 :AB34, "& "IO_AB35 :AB35, "& "IO_AB36 :AB36, "& "IO_AB37 :AB37, "& "IO_AB39 :AB39, "& "IO_AB40 :AB40, "& "IO_AB41 :AB41, "& "IO_AC10 :AC10, "& "IO_AC11 :AC11, "& "IO_AC31 :AC31, "& "IO_AC32 :AC32, "& "IO_AC33 :AC33, "& "IO_AC34 :AC34, "& "IO_AC36 :AC36, "& "IO_AC37 :AC37, "& "IO_AC38 :AC38, "& "IO_AC39 :AC39, "& "IO_AC41 :AC41, "& "IO_AD11 :AD11, "& "IO_AD31 :AD31, "& "IO_AD33 :AD33, "& "IO_AD34 :AD34, "& "IO_AD35 :AD35, "& "IO_AD36 :AD36, "& "IO_AD38 :AD38, "& "IO_AD39 :AD39, "& "IO_AD40 :AD40, "& "IO_AD41 :AD41, "& "IO_AE10 :AE10, "& "IO_AE11 :AE11, "& "IO_AE31 :AE31, "& "IO_AE32 :AE32, "& "IO_AE33 :AE33, "& "IO_AE35 :AE35, "& "IO_AE36 :AE36, "& "IO_AE37 :AE37, "& "IO_AE38 :AE38, "& "IO_AE40 :AE40, "& "IO_AE41 :AE41, "& "IO_AF10 :AF10, "& "IO_AF32 :AF32, "& "IO_AF33 :AF33, "& "IO_AF34 :AF34, "& "IO_AF35 :AF35, "& "IO_AF37 :AF37, "& "IO_AF38 :AF38, "& "IO_AF39 :AF39, "& "IO_AF40 :AF40, "& "IO_AG10 :AG10, "& "IO_AG11 :AG11, "& "IO_AG31 :AG31, "& "IO_AG32 :AG32, "& "IO_AG34 :AG34, "& "IO_AG35 :AG35, "& "IO_AG36 :AG36, "& "IO_AG37 :AG37, "& "IO_AG39 :AG39, "& "IO_AG40 :AG40, "& "IO_AG41 :AG41, "& "IO_AH10 :AH10, "& "IO_AH11 :AH11, "& "IO_AH31 :AH31, "& "IO_AH32 :AH32, "& "IO_AH33 :AH33, "& "IO_AH34 :AH34, "& "IO_AH36 :AH36, "& "IO_AH37 :AH37, "& "IO_AH38 :AH38, "& "IO_AH39 :AH39, "& "IO_AH41 :AH41, "& "IO_AJ11 :AJ11, "& "IO_AJ31 :AJ31, "& "IO_AJ33 :AJ33, "& "IO_AJ34 :AJ34, "& "IO_AJ35 :AJ35, "& "IO_AJ36 :AJ36, "& "IO_AJ38 :AJ38, "& "IO_AJ39 :AJ39, "& "IO_AJ40 :AJ40, "& "IO_AJ41 :AJ41, "& "IO_AK10 :AK10, "& "IO_AK32 :AK32, "& "IO_AK33 :AK33, "& "IO_AK35 :AK35, "& "IO_AK36 :AK36, "& "IO_AK37 :AK37, "& "IO_AK38 :AK38, "& "IO_AK40 :AK40, "& "IO_AK41 :AK41, "& "IO_AL33 :AL33, "& "IO_AL34 :AL34, "& "IO_AL35 :AL35, "& "IO_AL37 :AL37, "& "IO_AL38 :AL38, "& "IO_AL39 :AL39, "& "IO_AL40 :AL40, "& "IO_AM34 :AM34, "& "IO_AM35 :AM35, "& "IO_AM36 :AM36, "& "IO_AM37 :AM37, "& "IO_AM39 :AM39, "& "IO_AM40 :AM40, "& "IO_AM41 :AM41, "& "IO_AN36 :AN36, "& "IO_AN37 :AN37, "& "IO_AN38 :AN38, "& "IO_AN39 :AN39, "& "IO_AN41 :AN41, "& "IO_AP12 :AP12, "& "IO_AP16 :AP16, "& "IO_AP26 :AP26, "& "IO_AP30 :AP30, "& "IO_AR12 :AR12, "& "IO_AR16 :AR16, "& "IO_AR26 :AR26, "& "IO_AR30 :AR30, "& "IO_AT11 :AT11, "& "IO_AT13 :AT13, "& "IO_AT15 :AT15, "& "IO_AT17 :AT17, "& "IO_AT19 :AT19, "& "IO_AT35 :AT35, "& "IO_AU23 :AU23, "& "IO_AU25 :AU25, "& "IO_AU27 :AU27, "& "IO_AU29 :AU29, "& "IO_AU31 :AU31, "& "IO_AU33 :AU33, "& "IO_AU35 :AU35, "& "IO_AU37 :AU37, "& "IO_AU39 :AU39, "& "IO_AV10 :AV10, "& "IO_AV12 :AV12, "& "IO_AV14 :AV14, "& "IO_AV16 :AV16, "& "IO_AV18 :AV18, "& "IO_AV26 :AV26, "& "IO_AV30 :AV30, "& "IO_AV32 :AV32, "& "IO_AV38 :AV38, "& "IO_AW10 :AW10, "& "IO_AW12 :AW12, "& "IO_AW16 :AW16, "& "IO_AW24 :AW24, "& "IO_AW26 :AW26, "& "IO_AW28 :AW28, "& "IO_AW30 :AW30, "& "IO_AW32 :AW32, "& "IO_AW34 :AW34, "& "IO_AW36 :AW36, "& "IO_AW38 :AW38, "& "IO_AY11 :AY11, "& "IO_AY13 :AY13, "& "IO_AY15 :AY15, "& "IO_AY17 :AY17, "& "IO_AY19 :AY19, "& "IO_AY23 :AY23, "& "IO_AY25 :AY25, "& "IO_AY27 :AY27, "& "IO_AY29 :AY29, "& "IO_AY31 :AY31, "& "IO_AY33 :AY33, "& "IO_AY35 :AY35, "& "IO_AY37 :AY37, "& "IO_BA11 :BA11, "& "IO_BA13 :BA13, "& "IO_BA15 :BA15, "& "IO_BA17 :BA17, "& "IO_BA19 :BA19, "& "IO_BA23 :BA23, "& "IO_BA25 :BA25, "& "IO_BA27 :BA27, "& "IO_BA29 :BA29, "& "IO_BA31 :BA31, "& "IO_BA33 :BA33, "& "IO_BA35 :BA35, "& "IO_BA37 :BA37, "& "NC :(AJ14, AJ15, AJ16, AJ17, AJ18, AJ19, AJ20, "& "AJ21, AJ22, AJ23, AJ24, AJ25, AJ26, AJ27, "& "AJ28, AK11, AK12, AK30, AL11, AL31, AM10, "& "AM32, AN10, AN32, AP1, AP10, AP2, AP3, "& "AP32, AP33, AP34, AP35, AP36, AP37, AP38, "& "AP39, AP4, AP40, AP41, AP5, AP6, AP7, "& "AP8, AP9, T30), "& "SERDES_VDDI:(AN21, AP14, AP28, AR21), "& "SERDES_VREF:(AW21, BA21), "& "SERDES_1_TXD0_P:AU9, "& "SERDES_1_TXD1_P:AU11, "& "SERDES_1_TXD2_P:AU13, "& "SERDES_1_TXD3_P:AW14, "& "SERDES_2_TXD0_P:AU15, "& "SERDES_2_TXD1_P:AU17, "& "SERDES_2_TXD2_P:AW18, "& "SERDES_2_TXD3_P:AU19, "& "SERDES_3_TXD0_P:AT23, "& "SERDES_3_TXD1_P:AV24, "& "SERDES_3_TXD2_P:AT25, "& "SERDES_3_TXD3_P:AT27, "& "SERDES_4_TXD0_P:AV28, "& "SERDES_4_TXD1_P:AT29, "& "SERDES_4_TXD2_P:AT31, "& "SERDES_4_TXD3_P:AT33, "& "SERDES_1_L01_REXT:AM16, "& "SERDES_1_L23_REXT:AP18, "& "SERDES_2_L01_REXT:AM19, "& "SERDES_2_L23_REXT:AM20, "& "SERDES_3_L01_REXT:AM22, "& "SERDES_3_L23_REXT:AM23, "& "SERDES_4_L01_REXT:AP24, "& "SERDES_4_L23_REXT:AM26, "& "SERDES_1_L01_REFRET:AM17, "& "SERDES_1_L23_REFRET:AN18, "& "SERDES_2_L01_REFRET:AN19, "& "SERDES_2_L23_REFRET:AN20, "& "SERDES_3_L01_REFRET:AN22, "& "SERDES_3_L23_REFRET:AN23, "& "SERDES_4_L01_REFRET:AN24, "& "SERDES_4_L23_REFRET:AM25, "& "SERDES_PCIE_0_TXD0_P:AU3, "& "SERDES_PCIE_0_TXD1_P:AU5, "& "SERDES_PCIE_0_TXD2_P:AW6, "& "SERDES_PCIE_0_TXD3_P:AW8, "& "SERDES_PCIE_5_TXD0_P:AV34, "& "SERDES_PCIE_5_TXD1_P:AV36, "& "SERDES_PCIE_5_TXD2_P:AT37, "& "SERDES_PCIE_5_TXD3_P:AT39, "& "SERDES_PCIE_0_L01_REXT:AM12, "& "SERDES_PCIE_0_L23_REXT:AM15, "& "SERDES_PCIE_5_L01_REXT:AM27, "& "SERDES_PCIE_5_L23_REXT:AM30, "& "SERDES_PCIE_0_L01_REFRET:AM13, "& "SERDES_PCIE_0_L23_REFRET:AM14, "& "SERDES_PCIE_5_L01_REFRET:AM28, "& "SERDES_PCIE_5_L23_REFRET:AM29, "& "TCK :L29, "& "TDI :G35, "& "TDO :K31, "& "TEMP_MONITOR:AK31, "& "TMS :K30, "& "TRST :H34, "& "VDD :(R21, AA19, AA15, P24, AA23, AA27, AB16, "& "AB18, AB20, AB22, AB24, AC17, AC19, AC21, "& "AC23, AC25, AD16, AD18, AD24, AD26, AE15, "& "AE17, AE19, AE21, AE23, AE25, AE27, AF16, "& "AF18, AF20, AF22, AF24, AG17, AG19, AG21, "& "AG23, AG25, AH16, AH20, AH22, AH26, N15, "& "N19, N23, N27, P16, P18, P20, P22, "& "AD20, R17, R19, R23, R25, T16, T18, "& "T20, T22, T24, T26, U15, U17, U19, "& "U21, U23, AD22, U27, V16, V18, V20, "& "V22, V24, W17, W19, W21, W23, W25, "& "Y16, Y20, Y24, Y26, U25), "& "VDDA0 :(AL24, AL25, AP25, AU26, AV25, AW27, AK15, "& "AL14, AR11, AT10, AU12, AW11, AL15, AL16, "& "AP13, AR15, AT14, AV13, AW35, AL26, AL27, "& "AP29, AR27, AT28, AV29, AK27, AL28, AR31, "& "AT32, AU30, AW31, AM11, AN11, AT2, AU4, "& "AV5, AW3, AL12, AL13, AT6, AU8, AV9, "& "AW7, AL29, AL30, AT36, AU34, AV33, AM31, "& "AN31, AT40, AU38, AV37, AW39, AP19, AP20, "& "AP22, AP23, AN17, AM18, AM24, AN25, AN13, "& "AN14, AN28, AN29, AR23, AL17, AL18, AP17, "& "AU16, AV17, AW15, AL19, AL20, AR19, AT18, "& "AU20, AW19, AL22, AL23, AT24, AU22, AW23), "& "VDDI0 :(AN40, AF41, AG29, AG38, AC40, AD30, AD37, "& "AE29, AH28, AM33, AL36, AK39, AJ32, AJ29, "& "AH35, AH30, AE34, AF28, AF30, AF31), "& "VDDI1 :(AC29, AB33, AB28, AA36, AA29, Y30, W32, "& "W29, V35, U38, T41, N40, P37, AD28, "& "Y28, Y39), "& "VDDI2 :(V28, U29, T31, T28, R34, R29, F41, "& "C40, G38, H35, K39, L36, M33, N29, "& "P28, P30), "& "VDDI3 :H33, "& "VDDI4 :(L28, M26, M28, A36, D27, D37, C30, "& "E34, F31, G28, H25, J32, L25, L27, "& "B33), "& "VDDI5 :(M18, M20, M22, M24, F21, A26, A16, "& "B19, B23, E18, E24, J20, J22, L19, "& "L21, L23), "& "VDDI6 :(A6, B9, C12, D15, D5, H17, J10, "& "L13, L15, L17, M14, M16, G14, F11, "& "E8), "& "VDDI7 :(T11, V14, U13, H7, P14, C2, F1, "& "G4, K3, L6, M9, N13, P12, R8, "& "R13, T14), "& "VDDI8 :(AA6, AA13, U4, AB14, AC13, AB9, N2, "& "P5, T1, V7, W10, W13, Y12, Y14, "& "Y3, AD14), "& "VDDI9 :(AJ10, AJ13, AK3, AL6, AM9, AD5, AE13, "& "AE8, AF1, AF11, AF12, AN2, AF14, AD12, "& "AG13, AG4, AH12, AH14, AC2, AH7), "& "VDDPLL :(W27, AC27, AC15, AG15, AG27, AH18, AH24, "& "N17, N21, N25, R15, R27, W15), "& "VPP :(AA17, AA21, AA25, AB26, AF26, P26, V26, "& "Y18, Y22), "& "VREF0 :(AN33, AL32), "& "VREF9 :(AL10, AN9), "& "VSS :(Y17, Y19, Y21, Y23, Y25, Y27, AK17, "& "Y34, Y8, AA1, AA11, AA14, AA16, AA18, "& "AA20, AA22, AA24, AA26, AA28, AA31, AA41, "& "AB13, AB15, AB17, AB19, AB21, AB23, AB25, "& "AB27, AB29, AB38, AB4, AC12, AC14, AC16, "& "AC18, AC20, AC22, AC24, AC26, AC28, AC30, "& "AC35, AC7, AD10, AD13, AD15, AD17, AD19, "& "AD21, AD23, AD25, AD27, AD29, AD32, AE12, "& "AE14, AE16, AE18, AE20, AE22, AE24, AE26, "& "AE28, AE3, AE30, AE39, AF13, AF15, AF17, "& "AF19, AF21, AF23, AF25, AF27, AF29, AF36, "& "AF6, AG12, AG14, AG16, AG18, AG20, AG22, "& "AG24, AG26, AG28, AG30, AG33, AG9, AH13, "& "AH15, AH17, AH19, AH2, AH21, AH23, AH25, "& "AH27, AH29, AH40, AJ12, AJ30, AJ37, AJ5, "& "Y29, AK13, AK14, AK16, AK18, AK19, AK20, "& "AK21, AK22, AK23, AK24, AK25, AK26, AK28, "& "AK29, AK34, AK8, AL1, AL21, AL41, AM21, "& "AM38, AM4, AN12, AN15, AN16, AN26, AN27, "& "AN30, AN35, AN7, AP11, AP15, A11, AP21, "& "AP27, AP31, AR1, AR10, AR13, AR14, AR17, "& "AR18, AR2, AR20, AR22, AR24, AR25, AR28, "& "AR29, AR3, AR32, AR33, AR34, AR35, AR36, "& "AR37, AR38, AR39, AR4, AR40, AR41, AR5, "& "AR6, AR7, AR8, AR9, AT1, AT12, AT16, "& "AT20, AT21, AT22, AT26, AT30, AT34, AT38, "& "AT4, AT41, AT8, AU1, AU10, AU14, AU18, "& "AU2, AU21, AU24, AU28, AU32, AU36, AU40, "& "AU41, AU6, AV1, AV11, AV15, AV19, AV2, "& "AV20, AV21, AV22, AV23, AV27, AV3, AV31, "& "AV35, AV39, AV40, AV41, AV7, AW13, AW17, "& "AW2, AW20, AW22, AW25, AW29, AW33, AW37, "& "AW40, AW5, AW9, AY10, AY12, AY14, AY16, "& "AY18, AY20, AY21, AY22, AY24, AY26, AY28, "& "AY3, AY30, AY32, AY34, AY36, AY38, AY39, "& "AY4, AY6, AY8, B14, B28, B38, B4, "& "BA10, BA12, BA14, BA16, BA18, BA20, BA22, "& "BA24, BA26, BA28, BA30, BA32, BA34, BA36, "& "BA38, BA4, BA6, BA8, C17, C25, C35, "& "C7, D10, D20, D22, D32, E13, E29, "& "E3, E39, F16, F26, F36, F6, G19, "& "G23, G33, G9, H12, H2, H30, H40, "& "J15, J21, J27, J37, J5, K13, K18, "& "K24, L14, K29, K34, K8, L1, L11, "& "L16, L18, L24, L26, L31, L41, M13, "& "M15, M17, M19, M21, M23, M25, M27, "& "M29, M38, M4, N14, N16, N18, N20, "& "N22, N24, N26, N28, N35, N7, P10, "& "P13, P15, P17, P19, P21, P23, P25, "& "P27, P29, P32, R14, R16, R18, R20, "& "R22, R24, R26, R28, R3, R39, T13, "& "T15, T17, T19, T21, T23, T25, T27, "& "T29, T36, T6, U14, U16, U18, U20, "& "U22, U24, U26, U28, U33, U9, V13, "& "V15, V17, V19, V2, V21, V23, V25, "& "V27, V29, W14, W16, W18, W20, W22, "& "W24, W26, W28, W37, A21, A31, W5, "& "Y13, Y15, V40) "; attribute TAP_SCAN_IN of TDI : signal is true; attribute TAP_SCAN_MODE of TMS : signal is true; attribute TAP_SCAN_OUT of TDO : signal is true; attribute TAP_SCAN_RESET of TRST : signal is true; attribute TAP_SCAN_CLOCK of TCK : signal is ( 2.00e+07, BOTH); attribute INSTRUCTION_LENGTH of RT4G150Lcg1657 : entity is 8; attribute INSTRUCTION_OPCODE of RT4G150Lcg1657 : entity is "BYPASS (11111111), "& "IDCODE (00001111), "& "EXTEST (00000000), "& "SAMPLE (00000001), "& "HIGHZ (00000111), "& "CLAMP (00000101), "& "INTEST (00000110), "& "USERCODE (00001110) "; attribute INSTRUCTION_CAPTURE of RT4G150Lcg1657 : entity is "XXXXXX01"; attribute IDCODE_REGISTER of RT4G150Lcg1657 : entity is "XXXX0000011100000001000111001111"; attribute USERCODE_REGISTER of RT4G150Lcg1657 : entity is "00000000000000000000000000000000"; attribute REGISTER_ACCESS of RT4G150Lcg1657 : entity is "BOUNDARY(EXTEST, SAMPLE, INTEST), "& "BYPASS(BYPASS, HIGHZ, CLAMP), "& "DEVICE_ID(IDCODE)"; attribute BOUNDARY_LENGTH of RT4G150Lcg1657 : entity is 2412; attribute BOUNDARY_REGISTER of RT4G150Lcg1657 : entity is -- num cell port function safe [ccell disval rslt] --BSR C39 IO_C39. Scan Cell 0 " 0 (BC_1, IO_C39, output3, X, 1, 0, Z), "& " 1 (BC_1, *, control, 0), "& " 2 (BC_1, IO_C39, input, X), "& --BSR B39 IO_B39. Scan Cell 1 " 3 (BC_1, IO_B39, output3, X, 4, 0, Z), "& " 4 (BC_1, *, control, 0), "& " 5 (BC_1, IO_B39, input, X), "& --BSR H32 IO_H32. Scan Cell 2 " 6 (BC_1, IO_H32, output3, X, 7, 0, Z), "& " 7 (BC_1, *, control, 0), "& " 8 (BC_1, IO_H32, input, X), "& --BSR H31 IO_H31. Scan Cell 3 " 9 (BC_1, IO_H31, output3, X, 10, 0, Z), "& " 10 (BC_1, *, control, 0), "& " 11 (BC_1, IO_H31, input, X), "& --BSR C38 IO_C38. Scan Cell 4 " 12 (BC_1, IO_C38, output3, X, 13, 0, Z), "& " 13 (BC_1, *, control, 0), "& " 14 (BC_1, IO_C38, input, X), "& --BSR C37 IO_C37. Scan Cell 5 " 15 (BC_1, IO_C37, output3, X, 16, 0, Z), "& " 16 (BC_1, *, control, 0), "& " 17 (BC_1, IO_C37, input, X), "& --BSR F35 IO_F35. Scan Cell 6 " 18 (BC_1, IO_F35, output3, X, 19, 0, Z), "& " 19 (BC_1, *, control, 0), "& " 20 (BC_1, IO_F35, input, X), "& --BSR F34 IO_F34. Scan Cell 7 " 21 (BC_1, IO_F34, output3, X, 22, 0, Z), "& " 22 (BC_1, *, control, 0), "& " 23 (BC_1, IO_F34, input, X), "& --BSR J30 IO_J30. Scan Cell 8 " 24 (BC_1, IO_J30, output3, X, 25, 0, Z), "& " 25 (BC_1, *, control, 0), "& " 26 (BC_1, IO_J30, input, X), "& --BSR J31 IO_J31. Scan Cell 9 " 27 (BC_1, IO_J31, output3, X, 28, 0, Z), "& " 28 (BC_1, *, control, 0), "& " 29 (BC_1, IO_J31, input, X), "& --BSR A38 IO_A38. Scan Cell 10 " 30 (BC_1, IO_A38, output3, X, 31, 0, Z), "& " 31 (BC_1, *, control, 0), "& " 32 (BC_1, IO_A38, input, X), "& --BSR A37 IO_A37. Scan Cell 11 " 33 (BC_1, IO_A37, output3, X, 34, 0, Z), "& " 34 (BC_1, *, control, 0), "& " 35 (BC_1, IO_A37, input, X), "& --BSR D36 IO_D36. Scan Cell 12 " 36 (BC_1, IO_D36, output3, X, 37, 0, Z), "& " 37 (BC_1, *, control, 0), "& " 38 (BC_1, IO_D36, input, X), "& --BSR C36 IO_C36. Scan Cell 13 " 39 (BC_1, IO_C36, output3, X, 40, 0, Z), "& " 40 (BC_1, *, control, 0), "& " 41 (BC_1, IO_C36, input, X), "& --BSR G31 IO_G31. Scan Cell 14 " 42 (BC_1, IO_G31, output3, X, 43, 0, Z), "& " 43 (BC_1, *, control, 0), "& " 44 (BC_1, IO_G31, input, X), "& --BSR G30 IO_G30. Scan Cell 15 " 45 (BC_1, IO_G30, output3, X, 46, 0, Z), "& " 46 (BC_1, *, control, 0), "& " 47 (BC_1, IO_G30, input, X), "& --BSR G34 IO_G34. Scan Cell 16 " 48 (BC_1, IO_G34, output3, X, 49, 0, Z), "& " 49 (BC_1, *, control, 0), "& " 50 (BC_1, IO_G34, input, X), "& --BSR F33 IO_F33. Scan Cell 17 " 51 (BC_1, IO_F33, output3, X, 52, 0, Z), "& " 52 (BC_1, *, control, 0), "& " 53 (BC_1, IO_F33, input, X), "& --BSR B35 IO_B35. Scan Cell 18 " 54 (BC_1, IO_B35, output3, X, 55, 0, Z), "& " 55 (BC_1, *, control, 0), "& " 56 (BC_1, IO_B35, input, X), "& --BSR B34 IO_B34. Scan Cell 19 " 57 (BC_1, IO_B34, output3, X, 58, 0, Z), "& " 58 (BC_1, *, control, 0), "& " 59 (BC_1, IO_B34, input, X), "& --BSR J28 IO_J28. Scan Cell 20 " 60 (BC_1, IO_J28, output3, X, 61, 0, Z), "& " 61 (BC_1, *, control, 0), "& " 62 (BC_1, IO_J28, input, X), "& --BSR J29 IO_J29. Scan Cell 21 " 63 (BC_1, IO_J29, output3, X, 64, 0, Z), "& " 64 (BC_1, *, control, 0), "& " 65 (BC_1, IO_J29, input, X), "& --BSR D35 IO_D35. Scan Cell 22 " 66 (BC_1, IO_D35, output3, X, 67, 0, Z), "& " 67 (BC_1, *, control, 0), "& " 68 (BC_1, IO_D35, input, X), "& --BSR D34 IO_D34. Scan Cell 23 " 69 (BC_1, IO_D34, output3, X, 70, 0, Z), "& " 70 (BC_1, *, control, 0), "& " 71 (BC_1, IO_D34, input, X), "& --BSR G32 IO_G32. Scan Cell 24 " 72 (BC_1, IO_G32, output3, X, 73, 0, Z), "& " 73 (BC_1, *, control, 0), "& " 74 (BC_1, IO_G32, input, X), "& --BSR F32 IO_F32. Scan Cell 25 " 75 (BC_1, IO_F32, output3, X, 76, 0, Z), "& " 76 (BC_1, *, control, 0), "& " 77 (BC_1, IO_F32, input, X), "& --BSR H29 IO_H29. Scan Cell 26 " 78 (BC_1, IO_H29, output3, X, 79, 0, Z), "& " 79 (BC_1, *, control, 0), "& " 80 (BC_1, IO_H29, input, X), "& --BSR G29 IO_G29. Scan Cell 27 " 81 (BC_1, IO_G29, output3, X, 82, 0, Z), "& " 82 (BC_1, *, control, 0), "& " 83 (BC_1, IO_G29, input, X), "& --BSR E33 IO_E33. Scan Cell 28 " 84 (BC_1, IO_E33, output3, X, 85, 0, Z), "& " 85 (BC_1, *, control, 0), "& " 86 (BC_1, IO_E33, input, X), "& --BSR D33 IO_D33. Scan Cell 29 " 87 (BC_1, IO_D33, output3, X, 88, 0, Z), "& " 88 (BC_1, *, control, 0), "& " 89 (BC_1, IO_D33, input, X), "& --BSR B37 IO_B37. Scan Cell 30 " 90 (BC_1, IO_B37, output3, X, 91, 0, Z), "& " 91 (BC_1, *, control, 0), "& " 92 (BC_1, IO_B37, input, X), "& --BSR B36 IO_B36. Scan Cell 31 " 93 (BC_1, IO_B36, output3, X, 94, 0, Z), "& " 94 (BC_1, *, control, 0), "& " 95 (BC_1, IO_B36, input, X), "& --BSR K27 IO_K27. Scan Cell 32 " 96 (BC_1, IO_K27, output3, X, 97, 0, Z), "& " 97 (BC_1, *, control, 0), "& " 98 (BC_1, IO_K27, input, X), "& --BSR K28 IO_K28. Scan Cell 33 " 99 (BC_1, IO_K28, output3, X, 100, 0, Z), "& " 100 (BC_1, *, control, 0), "& " 101 (BC_1, IO_K28, input, X), "& --BSR E36 IO_E36. Scan Cell 34 " 102 (BC_1, IO_E36, output3, X, 103, 0, Z), "& " 103 (BC_1, *, control, 0), "& " 104 (BC_1, IO_E36, input, X), "& --BSR E35 IO_E35. Scan Cell 35 " 105 (BC_1, IO_E35, output3, X, 106, 0, Z), "& " 106 (BC_1, *, control, 0), "& " 107 (BC_1, IO_E35, input, X), "& --BSR C34 IO_C34. Scan Cell 36 " 108 (BC_1, IO_C34, output3, X, 109, 0, Z), "& " 109 (BC_1, *, control, 0), "& " 110 (BC_1, IO_C34, input, X), "& --BSR C33 IO_C33. Scan Cell 37 " 111 (BC_1, IO_C33, output3, X, 112, 0, Z), "& " 112 (BC_1, *, control, 0), "& " 113 (BC_1, IO_C33, input, X), "& --BSR H28 IO_H28. Scan Cell 38 " 114 (BC_1, IO_H28, output3, X, 115, 0, Z), "& " 115 (BC_1, *, control, 0), "& " 116 (BC_1, IO_H28, input, X), "& --BSR H27 IO_H27. Scan Cell 39 " 117 (BC_1, IO_H27, output3, X, 118, 0, Z), "& " 118 (BC_1, *, control, 0), "& " 119 (BC_1, IO_H27, input, X), "& --BSR C32 IO_C32. Scan Cell 40 " 120 (BC_1, IO_C32, output3, X, 121, 0, Z), "& " 121 (BC_1, *, control, 0), "& " 122 (BC_1, IO_C32, input, X), "& --BSR C31 IO_C31. Scan Cell 41 " 123 (BC_1, IO_C31, output3, X, 124, 0, Z), "& " 124 (BC_1, *, control, 0), "& " 125 (BC_1, IO_C31, input, X), "& --BSR E32 IO_E32. Scan Cell 42 " 126 (BC_1, IO_E32, output3, X, 127, 0, Z), "& " 127 (BC_1, *, control, 0), "& " 128 (BC_1, IO_E32, input, X), "& --BSR E31 IO_E31. Scan Cell 43 " 129 (BC_1, IO_E31, output3, X, 130, 0, Z), "& " 130 (BC_1, *, control, 0), "& " 131 (BC_1, IO_E31, input, X), "& --BSR K25 IO_K25. Scan Cell 44 " 132 (BC_1, IO_K25, output3, X, 133, 0, Z), "& " 133 (BC_1, *, control, 0), "& " 134 (BC_1, IO_K25, input, X), "& --BSR K26 IO_K26. Scan Cell 45 " 135 (BC_1, IO_K26, output3, X, 136, 0, Z), "& " 136 (BC_1, *, control, 0), "& " 137 (BC_1, IO_K26, input, X), "& --BSR A35 IO_A35. Scan Cell 46 " 138 (BC_1, IO_A35, output3, X, 139, 0, Z), "& " 139 (BC_1, *, control, 0), "& " 140 (BC_1, IO_A35, input, X), "& --BSR A34 IO_A34. Scan Cell 47 " 141 (BC_1, IO_A34, output3, X, 142, 0, Z), "& " 142 (BC_1, *, control, 0), "& " 143 (BC_1, IO_A34, input, X), "& --BSR D31 IO_D31. Scan Cell 48 " 144 (BC_1, IO_D31, output3, X, 145, 0, Z), "& " 145 (BC_1, *, control, 0), "& " 146 (BC_1, IO_D31, input, X), "& --BSR D30 IO_D30. Scan Cell 49 " 147 (BC_1, IO_D30, output3, X, 148, 0, Z), "& " 148 (BC_1, *, control, 0), "& " 149 (BC_1, IO_D30, input, X), "& --BSR G27 IO_G27. Scan Cell 50 " 150 (BC_1, IO_G27, output3, X, 151, 0, Z), "& " 151 (BC_1, *, control, 0), "& " 152 (BC_1, IO_G27, input, X), "& --BSR F27 IO_F27. Scan Cell 51 " 153 (BC_1, IO_F27, output3, X, 154, 0, Z), "& " 154 (BC_1, *, control, 0), "& " 155 (BC_1, IO_F27, input, X), "& --BSR F29 IO_F29. Scan Cell 52 " 156 (BC_1, IO_F29, output3, X, 157, 0, Z), "& " 157 (BC_1, *, control, 0), "& " 158 (BC_1, IO_F29, input, X), "& --BSR F28 IO_F28. Scan Cell 53 " 159 (BC_1, IO_F28, output3, X, 160, 0, Z), "& " 160 (BC_1, *, control, 0), "& " 161 (BC_1, IO_F28, input, X), "& --BSR A33 IO_A33. Scan Cell 54 " 162 (BC_1, IO_A33, output3, X, 163, 0, Z), "& " 163 (BC_1, *, control, 0), "& " 164 (BC_1, IO_A33, input, X), "& --BSR A32 IO_A32. Scan Cell 55 " 165 (BC_1, IO_A32, output3, X, 166, 0, Z), "& " 166 (BC_1, *, control, 0), "& " 167 (BC_1, IO_A32, input, X), "& --BSR J24 IO_J24. Scan Cell 56 " 168 (BC_1, IO_J24, output3, X, 169, 0, Z), "& " 169 (BC_1, *, control, 0), "& " 170 (BC_1, IO_J24, input, X), "& --BSR J25 IO_J25. Scan Cell 57 " 171 (BC_1, IO_J25, output3, X, 172, 0, Z), "& " 172 (BC_1, *, control, 0), "& " 173 (BC_1, IO_J25, input, X), "& --BSR D29 IO_D29. Scan Cell 58 " 174 (BC_1, IO_D29, output3, X, 175, 0, Z), "& " 175 (BC_1, *, control, 0), "& " 176 (BC_1, IO_D29, input, X), "& --BSR D28 IO_D28. Scan Cell 59 " 177 (BC_1, IO_D28, output3, X, 178, 0, Z), "& " 178 (BC_1, *, control, 0), "& " 179 (BC_1, IO_D28, input, X), "& --BSR E30 IO_E30. Scan Cell 60 " 180 (BC_1, IO_E30, output3, X, 181, 0, Z), "& " 181 (BC_1, *, control, 0), "& " 182 (BC_1, IO_E30, input, X), "& --BSR F30 IO_F30. Scan Cell 61 " 183 (BC_1, IO_F30, output3, X, 184, 0, Z), "& " 184 (BC_1, *, control, 0), "& " 185 (BC_1, IO_F30, input, X), "& --BSR G26 IO_G26. Scan Cell 62 " 186 (BC_1, IO_G26, output3, X, 187, 0, Z), "& " 187 (BC_1, *, control, 0), "& " 188 (BC_1, IO_G26, input, X), "& --BSR G25 IO_G25. Scan Cell 63 " 189 (BC_1, IO_G25, output3, X, 190, 0, Z), "& " 190 (BC_1, *, control, 0), "& " 191 (BC_1, IO_G25, input, X), "& --BSR B32 IO_B32. Scan Cell 64 " 192 (BC_1, IO_B32, output3, X, 193, 0, Z), "& " 193 (BC_1, *, control, 0), "& " 194 (BC_1, IO_B32, input, X), "& --BSR B31 IO_B31. Scan Cell 65 " 195 (BC_1, IO_B31, output3, X, 196, 0, Z), "& " 196 (BC_1, *, control, 0), "& " 197 (BC_1, IO_B31, input, X), "& --BSR C29 IO_C29. Scan Cell 66 " 198 (BC_1, IO_C29, output3, X, 199, 0, Z), "& " 199 (BC_1, *, control, 0), "& " 200 (BC_1, IO_C29, input, X), "& --BSR C28 IO_C28. Scan Cell 67 " 201 (BC_1, IO_C28, output3, X, 202, 0, Z), "& " 202 (BC_1, *, control, 0), "& " 203 (BC_1, IO_C28, input, X), "& --BSR H26 IO_H26. Scan Cell 68 " 204 (BC_1, IO_H26, output3, X, 205, 0, Z), "& " 205 (BC_1, *, control, 0), "& " 206 (BC_1, IO_H26, input, X), "& --BSR J26 IO_J26. Scan Cell 69 " 207 (BC_1, IO_J26, output3, X, 208, 0, Z), "& " 208 (BC_1, *, control, 0), "& " 209 (BC_1, IO_J26, input, X), "& --BSR E28 IO_E28. Scan Cell 70 " 210 (BC_1, IO_E28, output3, X, 211, 0, Z), "& " 211 (BC_1, *, control, 0), "& " 212 (BC_1, IO_E28, input, X), "& --BSR E27 IO_E27. Scan Cell 71 " 213 (BC_1, IO_E27, output3, X, 214, 0, Z), "& " 214 (BC_1, *, control, 0), "& " 215 (BC_1, IO_E27, input, X), "& --BSR B30 IO_B30. Scan Cell 72 " 216 (BC_1, IO_B30, output3, X, 217, 0, Z), "& " 217 (BC_1, *, control, 0), "& " 218 (BC_1, IO_B30, input, X), "& --BSR B29 IO_B29. Scan Cell 73 " 219 (BC_1, IO_B29, output3, X, 220, 0, Z), "& " 220 (BC_1, *, control, 0), "& " 221 (BC_1, IO_B29, input, X), "& --BSR H24 IO_H24. Scan Cell 74 " 222 (BC_1, IO_H24, output3, X, 223, 0, Z), "& " 223 (BC_1, *, control, 0), "& " 224 (BC_1, IO_H24, input, X), "& --BSR G24 IO_G24. Scan Cell 75 " 225 (BC_1, IO_G24, output3, X, 226, 0, Z), "& " 226 (BC_1, *, control, 0), "& " 227 (BC_1, IO_G24, input, X), "& --BSR C27 IO_C27. Scan Cell 76 " 228 (BC_1, IO_C27, output3, X, 229, 0, Z), "& " 229 (BC_1, *, control, 0), "& " 230 (BC_1, IO_C27, input, X), "& --BSR C26 IO_C26. Scan Cell 77 " 231 (BC_1, IO_C26, output3, X, 232, 0, Z), "& " 232 (BC_1, *, control, 0), "& " 233 (BC_1, IO_C26, input, X), "& --BSR E26 IO_E26. Scan Cell 78 " 234 (BC_1, IO_E26, output3, X, 235, 0, Z), "& " 235 (BC_1, *, control, 0), "& " 236 (BC_1, IO_E26, input, X), "& --BSR E25 IO_E25. Scan Cell 79 " 237 (BC_1, IO_E25, output3, X, 238, 0, Z), "& " 238 (BC_1, *, control, 0), "& " 239 (BC_1, IO_E25, input, X), "& --BSR J23 IO_J23. Scan Cell 80 " 240 (BC_1, IO_J23, output3, X, 241, 0, Z), "& " 241 (BC_1, *, control, 0), "& " 242 (BC_1, IO_J23, input, X), "& --BSR H23 IO_H23. Scan Cell 81 " 243 (BC_1, IO_H23, output3, X, 244, 0, Z), "& " 244 (BC_1, *, control, 0), "& " 245 (BC_1, IO_H23, input, X), "& --BSR A30 IO_A30. Scan Cell 82 " 246 (BC_1, IO_A30, output3, X, 247, 0, Z), "& " 247 (BC_1, *, control, 0), "& " 248 (BC_1, IO_A30, input, X), "& --BSR A29 IO_A29. Scan Cell 83 " 249 (BC_1, IO_A29, output3, X, 250, 0, Z), "& " 250 (BC_1, *, control, 0), "& " 251 (BC_1, IO_A29, input, X), "& --BSR B27 IO_B27. Scan Cell 84 " 252 (BC_1, IO_B27, output3, X, 253, 0, Z), "& " 253 (BC_1, *, control, 0), "& " 254 (BC_1, IO_B27, input, X), "& --BSR B26 IO_B26. Scan Cell 85 " 255 (BC_1, IO_B26, output3, X, 256, 0, Z), "& " 256 (BC_1, *, control, 0), "& " 257 (BC_1, IO_B26, input, X), "& --BSR K22 IO_K22. Scan Cell 86 " 258 (BC_1, IO_K22, output3, X, 259, 0, Z), "& " 259 (BC_1, *, control, 0), "& " 260 (BC_1, IO_K22, input, X), "& --BSR K23 IO_K23. Scan Cell 87 " 261 (BC_1, IO_K23, output3, X, 262, 0, Z), "& " 262 (BC_1, *, control, 0), "& " 263 (BC_1, IO_K23, input, X), "& --BSR F25 IO_F25. Scan Cell 88 " 264 (BC_1, IO_F25, output3, X, 265, 0, Z), "& " 265 (BC_1, *, control, 0), "& " 266 (BC_1, IO_F25, input, X), "& --BSR F24 IO_F24. Scan Cell 89 " 267 (BC_1, IO_F24, output3, X, 268, 0, Z), "& " 268 (BC_1, *, control, 0), "& " 269 (BC_1, IO_F24, input, X), "& --BSR A28 IO_A28. Scan Cell 90 " 270 (BC_1, IO_A28, output3, X, 271, 0, Z), "& " 271 (BC_1, *, control, 0), "& " 272 (BC_1, IO_A28, input, X), "& --BSR A27 IO_A27. Scan Cell 91 " 273 (BC_1, IO_A27, output3, X, 274, 0, Z), "& " 274 (BC_1, *, control, 0), "& " 275 (BC_1, IO_A27, input, X), "& --BSR H22 IO_H22. Scan Cell 92 " 276 (BC_1, IO_H22, output3, X, 277, 0, Z), "& " 277 (BC_1, *, control, 0), "& " 278 (BC_1, IO_H22, input, X), "& --BSR G22 IO_G22. Scan Cell 93 " 279 (BC_1, IO_G22, output3, X, 280, 0, Z), "& " 280 (BC_1, *, control, 0), "& " 281 (BC_1, IO_G22, input, X), "& --BSR B25 IO_B25. Scan Cell 94 " 282 (BC_1, IO_B25, output3, X, 283, 0, Z), "& " 283 (BC_1, *, control, 0), "& " 284 (BC_1, IO_B25, input, X), "& --BSR B24 IO_B24. Scan Cell 95 " 285 (BC_1, IO_B24, output3, X, 286, 0, Z), "& " 286 (BC_1, *, control, 0), "& " 287 (BC_1, IO_B24, input, X), "& --BSR D26 IO_D26. Scan Cell 96 " 288 (BC_1, IO_D26, output3, X, 289, 0, Z), "& " 289 (BC_1, *, control, 0), "& " 290 (BC_1, IO_D26, input, X), "& --BSR D25 IO_D25. Scan Cell 97 " 291 (BC_1, IO_D25, output3, X, 292, 0, Z), "& " 292 (BC_1, *, control, 0), "& " 293 (BC_1, IO_D25, input, X), "& --BSR G21 IO_G21. Scan Cell 98 " 294 (BC_1, IO_G21, output3, X, 295, 0, Z), "& " 295 (BC_1, *, control, 0), "& " 296 (BC_1, IO_G21, input, X), "& --BSR G20 IO_G20. Scan Cell 99 " 297 (BC_1, IO_G20, output3, X, 298, 0, Z), "& " 298 (BC_1, *, control, 0), "& " 299 (BC_1, IO_G20, input, X), "& --BSR A25 IO_A25. Scan Cell 100 " 300 (BC_1, IO_A25, output3, X, 301, 0, Z), "& " 301 (BC_1, *, control, 0), "& " 302 (BC_1, IO_A25, input, X), "& --BSR A24 IO_A24. Scan Cell 101 " 303 (BC_1, IO_A24, output3, X, 304, 0, Z), "& " 304 (BC_1, *, control, 0), "& " 305 (BC_1, IO_A24, input, X), "& --BSR D24 IO_D24. Scan Cell 102 " 306 (BC_1, IO_D24, output3, X, 307, 0, Z), "& " 307 (BC_1, *, control, 0), "& " 308 (BC_1, IO_D24, input, X), "& --BSR D23 IO_D23. Scan Cell 103 " 309 (BC_1, IO_D23, output3, X, 310, 0, Z), "& " 310 (BC_1, *, control, 0), "& " 311 (BC_1, IO_D23, input, X), "& --BSR K21 IO_K21. Scan Cell 104 " 312 (BC_1, IO_K21, output3, X, 313, 0, Z), "& " 313 (BC_1, *, control, 0), "& " 314 (BC_1, IO_K21, input, X), "& --BSR L22 IO_L22. Scan Cell 105 " 315 (BC_1, IO_L22, output3, X, 316, 0, Z), "& " 316 (BC_1, *, control, 0), "& " 317 (BC_1, IO_L22, input, X), "& --BSR F23 IO_F23. Scan Cell 106 " 318 (BC_1, IO_F23, output3, X, 319, 0, Z), "& " 319 (BC_1, *, control, 0), "& " 320 (BC_1, IO_F23, input, X), "& --BSR F22 IO_F22. Scan Cell 107 " 321 (BC_1, IO_F22, output3, X, 322, 0, Z), "& " 322 (BC_1, *, control, 0), "& " 323 (BC_1, IO_F22, input, X), "& --BSR A23 IO_A23. Scan Cell 108 " 324 (BC_1, IO_A23, output3, X, 325, 0, Z), "& " 325 (BC_1, *, control, 0), "& " 326 (BC_1, IO_A23, input, X), "& --BSR A22 IO_A22. Scan Cell 109 " 327 (BC_1, IO_A22, output3, X, 328, 0, Z), "& " 328 (BC_1, *, control, 0), "& " 329 (BC_1, IO_A22, input, X), "& --BSR H21 IO_H21. Scan Cell 110 " 330 (BC_1, IO_H21, output3, X, 331, 0, Z), "& " 331 (BC_1, *, control, 0), "& " 332 (BC_1, IO_H21, input, X), "& --BSR H20 IO_H20. Scan Cell 111 " 333 (BC_1, IO_H20, output3, X, 334, 0, Z), "& " 334 (BC_1, *, control, 0), "& " 335 (BC_1, IO_H20, input, X), "& --BSR C24 IO_C24. Scan Cell 112 " 336 (BC_1, IO_C24, output3, X, 337, 0, Z), "& " 337 (BC_1, *, control, 0), "& " 338 (BC_1, IO_C24, input, X), "& --BSR C23 IO_C23. Scan Cell 113 " 339 (BC_1, IO_C23, output3, X, 340, 0, Z), "& " 340 (BC_1, *, control, 0), "& " 341 (BC_1, IO_C23, input, X), "& --BSR E23 IO_E23. Scan Cell 114 " 342 (BC_1, IO_E23, output3, X, 343, 0, Z), "& " 343 (BC_1, *, control, 0), "& " 344 (BC_1, IO_E23, input, X), "& --BSR E22 IO_E22. Scan Cell 115 " 345 (BC_1, IO_E22, output3, X, 346, 0, Z), "& " 346 (BC_1, *, control, 0), "& " 347 (BC_1, IO_E22, input, X), "& --BSR K20 IO_K20. Scan Cell 116 " 348 (BC_1, IO_K20, output3, X, 349, 0, Z), "& " 349 (BC_1, *, control, 0), "& " 350 (BC_1, IO_K20, input, X), "& --BSR L20 IO_L20. Scan Cell 117 " 351 (BC_1, IO_L20, output3, X, 352, 0, Z), "& " 352 (BC_1, *, control, 0), "& " 353 (BC_1, IO_L20, input, X), "& --BSR B21 IO_B21. Scan Cell 118 " 354 (BC_1, IO_B21, output3, X, 355, 0, Z), "& " 355 (BC_1, *, control, 0), "& " 356 (BC_1, IO_B21, input, X), "& --BSR B20 IO_B20. Scan Cell 119 " 357 (BC_1, IO_B20, output3, X, 358, 0, Z), "& " 358 (BC_1, *, control, 0), "& " 359 (BC_1, IO_B20, input, X), "& --BSR C22 IO_C22. Scan Cell 120 " 360 (BC_1, IO_C22, output3, X, 361, 0, Z), "& " 361 (BC_1, *, control, 0), "& " 362 (BC_1, IO_C22, input, X), "& --BSR B22 IO_B22. Scan Cell 121 " 363 (BC_1, IO_B22, output3, X, 364, 0, Z), "& " 364 (BC_1, *, control, 0), "& " 365 (BC_1, IO_B22, input, X), "& --BSR F20 IO_F20. Scan Cell 122 " 366 (BC_1, IO_F20, output3, X, 367, 0, Z), "& " 367 (BC_1, *, control, 0), "& " 368 (BC_1, IO_F20, input, X), "& --BSR E20 IO_E20. Scan Cell 123 " 369 (BC_1, IO_E20, output3, X, 370, 0, Z), "& " 370 (BC_1, *, control, 0), "& " 371 (BC_1, IO_E20, input, X), "& --BSR E21 IO_E21. Scan Cell 124 " 372 (BC_1, IO_E21, output3, X, 373, 0, Z), "& " 373 (BC_1, *, control, 0), "& " 374 (BC_1, IO_E21, input, X), "& --BSR D21 IO_D21. Scan Cell 125 " 375 (BC_1, IO_D21, output3, X, 376, 0, Z), "& " 376 (BC_1, *, control, 0), "& " 377 (BC_1, IO_D21, input, X), "& --BSR A20 IO_A20. Scan Cell 126 " 378 (BC_1, IO_A20, output3, X, 379, 0, Z), "& " 379 (BC_1, *, control, 0), "& " 380 (BC_1, IO_A20, input, X), "& --BSR A19 IO_A19. Scan Cell 127 " 381 (BC_1, IO_A19, output3, X, 382, 0, Z), "& " 382 (BC_1, *, control, 0), "& " 383 (BC_1, IO_A19, input, X), "& --BSR H19 IO_H19. Scan Cell 128 " 384 (BC_1, IO_H19, output3, X, 385, 0, Z), "& " 385 (BC_1, *, control, 0), "& " 386 (BC_1, IO_H19, input, X), "& --BSR J19 IO_J19. Scan Cell 129 " 387 (BC_1, IO_J19, output3, X, 388, 0, Z), "& " 388 (BC_1, *, control, 0), "& " 389 (BC_1, IO_J19, input, X), "& --BSR C21 IO_C21. Scan Cell 130 " 390 (BC_1, IO_C21, output3, X, 391, 0, Z), "& " 391 (BC_1, *, control, 0), "& " 392 (BC_1, IO_C21, input, X), "& --BSR C20 IO_C20. Scan Cell 131 " 393 (BC_1, IO_C20, output3, X, 394, 0, Z), "& " 394 (BC_1, *, control, 0), "& " 395 (BC_1, IO_C20, input, X), "& --BSR C19 IO_C19. Scan Cell 132 " 396 (BC_1, IO_C19, output3, X, 397, 0, Z), "& " 397 (BC_1, *, control, 0), "& " 398 (BC_1, IO_C19, input, X), "& --BSR D19 IO_D19. Scan Cell 133 " 399 (BC_1, IO_D19, output3, X, 400, 0, Z), "& " 400 (BC_1, *, control, 0), "& " 401 (BC_1, IO_D19, input, X), "& --BSR F19 IO_F19. Scan Cell 134 " 402 (BC_1, IO_F19, output3, X, 403, 0, Z), "& " 403 (BC_1, *, control, 0), "& " 404 (BC_1, IO_F19, input, X), "& --BSR E19 IO_E19. Scan Cell 135 " 405 (BC_1, IO_E19, output3, X, 406, 0, Z), "& " 406 (BC_1, *, control, 0), "& " 407 (BC_1, IO_E19, input, X), "& --BSR A18 IO_A18. Scan Cell 136 " 408 (BC_1, IO_A18, output3, X, 409, 0, Z), "& " 409 (BC_1, *, control, 0), "& " 410 (BC_1, IO_A18, input, X), "& --BSR A17 IO_A17. Scan Cell 137 " 411 (BC_1, IO_A17, output3, X, 412, 0, Z), "& " 412 (BC_1, *, control, 0), "& " 413 (BC_1, IO_A17, input, X), "& --BSR B18 IO_B18. Scan Cell 138 " 414 (BC_1, IO_B18, output3, X, 415, 0, Z), "& " 415 (BC_1, *, control, 0), "& " 416 (BC_1, IO_B18, input, X), "& --BSR B17 IO_B17. Scan Cell 139 " 417 (BC_1, IO_B17, output3, X, 418, 0, Z), "& " 418 (BC_1, *, control, 0), "& " 419 (BC_1, IO_B17, input, X), "& --BSR K19 IO_K19. Scan Cell 140 " 420 (BC_1, IO_K19, output3, X, 421, 0, Z), "& " 421 (BC_1, *, control, 0), "& " 422 (BC_1, IO_K19, input, X), "& --BSR J18 IO_J18. Scan Cell 141 " 423 (BC_1, IO_J18, output3, X, 424, 0, Z), "& " 424 (BC_1, *, control, 0), "& " 425 (BC_1, IO_J18, input, X), "& --BSR C18 IO_C18. Scan Cell 142 " 426 (BC_1, IO_C18, output3, X, 427, 0, Z), "& " 427 (BC_1, *, control, 0), "& " 428 (BC_1, IO_C18, input, X), "& --BSR D18 IO_D18. Scan Cell 143 " 429 (BC_1, IO_D18, output3, X, 430, 0, Z), "& " 430 (BC_1, *, control, 0), "& " 431 (BC_1, IO_D18, input, X), "& --BSR A15 IO_A15. Scan Cell 144 " 432 (BC_1, IO_A15, output3, X, 433, 0, Z), "& " 433 (BC_1, *, control, 0), "& " 434 (BC_1, IO_A15, input, X), "& --BSR A14 IO_A14. Scan Cell 145 " 435 (BC_1, IO_A14, output3, X, 436, 0, Z), "& " 436 (BC_1, *, control, 0), "& " 437 (BC_1, IO_A14, input, X), "& --BSR F18 IO_F18. Scan Cell 146 " 438 (BC_1, IO_F18, output3, X, 439, 0, Z), "& " 439 (BC_1, *, control, 0), "& " 440 (BC_1, IO_F18, input, X), "& --BSR F17 IO_F17. Scan Cell 147 " 441 (BC_1, IO_F17, output3, X, 442, 0, Z), "& " 442 (BC_1, *, control, 0), "& " 443 (BC_1, IO_F17, input, X), "& --BSR B16 IO_B16. Scan Cell 148 " 444 (BC_1, IO_B16, output3, X, 445, 0, Z), "& " 445 (BC_1, *, control, 0), "& " 446 (BC_1, IO_B16, input, X), "& --BSR B15 IO_B15. Scan Cell 149 " 447 (BC_1, IO_B15, output3, X, 448, 0, Z), "& " 448 (BC_1, *, control, 0), "& " 449 (BC_1, IO_B15, input, X), "& --BSR D17 IO_D17. Scan Cell 150 " 450 (BC_1, IO_D17, output3, X, 451, 0, Z), "& " 451 (BC_1, *, control, 0), "& " 452 (BC_1, IO_D17, input, X), "& --BSR D16 IO_D16. Scan Cell 151 " 453 (BC_1, IO_D16, output3, X, 454, 0, Z), "& " 454 (BC_1, *, control, 0), "& " 455 (BC_1, IO_D16, input, X), "& --BSR H18 IO_H18. Scan Cell 152 " 456 (BC_1, IO_H18, output3, X, 457, 0, Z), "& " 457 (BC_1, *, control, 0), "& " 458 (BC_1, IO_H18, input, X), "& --BSR G18 IO_G18. Scan Cell 153 " 459 (BC_1, IO_G18, output3, X, 460, 0, Z), "& " 460 (BC_1, *, control, 0), "& " 461 (BC_1, IO_G18, input, X), "& --BSR A13 IO_A13. Scan Cell 154 " 462 (BC_1, IO_A13, output3, X, 463, 0, Z), "& " 463 (BC_1, *, control, 0), "& " 464 (BC_1, IO_A13, input, X), "& --BSR A12 IO_A12. Scan Cell 155 " 465 (BC_1, IO_A12, output3, X, 466, 0, Z), "& " 466 (BC_1, *, control, 0), "& " 467 (BC_1, IO_A12, input, X), "& --BSR C16 IO_C16. Scan Cell 156 " 468 (BC_1, IO_C16, output3, X, 469, 0, Z), "& " 469 (BC_1, *, control, 0), "& " 470 (BC_1, IO_C16, input, X), "& --BSR C15 IO_C15. Scan Cell 157 " 471 (BC_1, IO_C15, output3, X, 472, 0, Z), "& " 472 (BC_1, *, control, 0), "& " 473 (BC_1, IO_C15, input, X), "& --BSR G17 IO_G17. Scan Cell 158 " 474 (BC_1, IO_G17, output3, X, 475, 0, Z), "& " 475 (BC_1, *, control, 0), "& " 476 (BC_1, IO_G17, input, X), "& --BSR G16 IO_G16. Scan Cell 159 " 477 (BC_1, IO_G16, output3, X, 478, 0, Z), "& " 478 (BC_1, *, control, 0), "& " 479 (BC_1, IO_G16, input, X), "& --BSR E17 IO_E17. Scan Cell 160 " 480 (BC_1, IO_E17, output3, X, 481, 0, Z), "& " 481 (BC_1, *, control, 0), "& " 482 (BC_1, IO_E17, input, X), "& --BSR E16 IO_E16. Scan Cell 161 " 483 (BC_1, IO_E16, output3, X, 484, 0, Z), "& " 484 (BC_1, *, control, 0), "& " 485 (BC_1, IO_E16, input, X), "& --BSR B13 IO_B13. Scan Cell 162 " 486 (BC_1, IO_B13, output3, X, 487, 0, Z), "& " 487 (BC_1, *, control, 0), "& " 488 (BC_1, IO_B13, input, X), "& --BSR B12 IO_B12. Scan Cell 163 " 489 (BC_1, IO_B12, output3, X, 490, 0, Z), "& " 490 (BC_1, *, control, 0), "& " 491 (BC_1, IO_B12, input, X), "& --BSR K17 IO_K17. Scan Cell 164 " 492 (BC_1, IO_K17, output3, X, 493, 0, Z), "& " 493 (BC_1, *, control, 0), "& " 494 (BC_1, IO_K17, input, X), "& --BSR J17 IO_J17. Scan Cell 165 " 495 (BC_1, IO_J17, output3, X, 496, 0, Z), "& " 496 (BC_1, *, control, 0), "& " 497 (BC_1, IO_J17, input, X), "& --BSR C14 IO_C14. Scan Cell 166 " 498 (BC_1, IO_C14, output3, X, 499, 0, Z), "& " 499 (BC_1, *, control, 0), "& " 500 (BC_1, IO_C14, input, X), "& --BSR C13 IO_C13. Scan Cell 167 " 501 (BC_1, IO_C13, output3, X, 502, 0, Z), "& " 502 (BC_1, *, control, 0), "& " 503 (BC_1, IO_C13, input, X), "& --BSR E15 IO_E15. Scan Cell 168 " 504 (BC_1, IO_E15, output3, X, 505, 0, Z), "& " 505 (BC_1, *, control, 0), "& " 506 (BC_1, IO_E15, input, X), "& --BSR E14 IO_E14. Scan Cell 169 " 507 (BC_1, IO_E14, output3, X, 508, 0, Z), "& " 508 (BC_1, *, control, 0), "& " 509 (BC_1, IO_E14, input, X), "& --BSR H16 IO_H16. Scan Cell 170 " 510 (BC_1, IO_H16, output3, X, 511, 0, Z), "& " 511 (BC_1, *, control, 0), "& " 512 (BC_1, IO_H16, input, X), "& --BSR H15 IO_H15. Scan Cell 171 " 513 (BC_1, IO_H15, output3, X, 514, 0, Z), "& " 514 (BC_1, *, control, 0), "& " 515 (BC_1, IO_H15, input, X), "& --BSR A10 IO_A10. Scan Cell 172 " 516 (BC_1, IO_A10, output3, X, 517, 0, Z), "& " 517 (BC_1, *, control, 0), "& " 518 (BC_1, IO_A10, input, X), "& --BSR A9 IO_A9. Scan Cell 173 " 519 (BC_1, IO_A9, output3, X, 520, 0, Z), "& " 520 (BC_1, *, control, 0), "& " 521 (BC_1, IO_A9, input, X), "& --BSR D14 IO_D14. Scan Cell 174 " 522 (BC_1, IO_D14, output3, X, 523, 0, Z), "& " 523 (BC_1, *, control, 0), "& " 524 (BC_1, IO_D14, input, X), "& --BSR D13 IO_D13. Scan Cell 175 " 525 (BC_1, IO_D13, output3, X, 526, 0, Z), "& " 526 (BC_1, *, control, 0), "& " 527 (BC_1, IO_D13, input, X), "& --BSR K16 IO_K16. Scan Cell 176 " 528 (BC_1, IO_K16, output3, X, 529, 0, Z), "& " 529 (BC_1, *, control, 0), "& " 530 (BC_1, IO_K16, input, X), "& --BSR J16 IO_J16. Scan Cell 177 " 531 (BC_1, IO_J16, output3, X, 532, 0, Z), "& " 532 (BC_1, *, control, 0), "& " 533 (BC_1, IO_J16, input, X), "& --BSR E12 IO_E12. Scan Cell 178 " 534 (BC_1, IO_E12, output3, X, 535, 0, Z), "& " 535 (BC_1, *, control, 0), "& " 536 (BC_1, IO_E12, input, X), "& --BSR F12 IO_F12. Scan Cell 179 " 537 (BC_1, IO_F12, output3, X, 538, 0, Z), "& " 538 (BC_1, *, control, 0), "& " 539 (BC_1, IO_F12, input, X), "& --BSR B11 IO_B11. Scan Cell 180 " 540 (BC_1, IO_B11, output3, X, 541, 0, Z), "& " 541 (BC_1, *, control, 0), "& " 542 (BC_1, IO_B11, input, X), "& --BSR B10 IO_B10. Scan Cell 181 " 543 (BC_1, IO_B10, output3, X, 544, 0, Z), "& " 544 (BC_1, *, control, 0), "& " 545 (BC_1, IO_B10, input, X), "& --BSR G15 IO_G15. Scan Cell 182 " 546 (BC_1, IO_G15, output3, X, 547, 0, Z), "& " 547 (BC_1, *, control, 0), "& " 548 (BC_1, IO_G15, input, X), "& --BSR F15 IO_F15. Scan Cell 183 " 549 (BC_1, IO_F15, output3, X, 550, 0, Z), "& " 550 (BC_1, *, control, 0), "& " 551 (BC_1, IO_F15, input, X), "& --BSR D12 IO_D12. Scan Cell 184 " 552 (BC_1, IO_D12, output3, X, 553, 0, Z), "& " 553 (BC_1, *, control, 0), "& " 554 (BC_1, IO_D12, input, X), "& --BSR D11 IO_D11. Scan Cell 185 " 555 (BC_1, IO_D11, output3, X, 556, 0, Z), "& " 556 (BC_1, *, control, 0), "& " 557 (BC_1, IO_D11, input, X), "& --BSR F14 IO_F14. Scan Cell 186 " 558 (BC_1, IO_F14, output3, X, 559, 0, Z), "& " 559 (BC_1, *, control, 0), "& " 560 (BC_1, IO_F14, input, X), "& --BSR F13 IO_F13. Scan Cell 187 " 561 (BC_1, IO_F13, output3, X, 562, 0, Z), "& " 562 (BC_1, *, control, 0), "& " 563 (BC_1, IO_F13, input, X), "& --BSR K14 IO_K14. Scan Cell 188 " 564 (BC_1, IO_K14, output3, X, 565, 0, Z), "& " 565 (BC_1, *, control, 0), "& " 566 (BC_1, IO_K14, input, X), "& --BSR K15 IO_K15. Scan Cell 189 " 567 (BC_1, IO_K15, output3, X, 568, 0, Z), "& " 568 (BC_1, *, control, 0), "& " 569 (BC_1, IO_K15, input, X), "& --BSR C11 IO_C11. Scan Cell 190 " 570 (BC_1, IO_C11, output3, X, 571, 0, Z), "& " 571 (BC_1, *, control, 0), "& " 572 (BC_1, IO_C11, input, X), "& --BSR C10 IO_C10. Scan Cell 191 " 573 (BC_1, IO_C10, output3, X, 574, 0, Z), "& " 574 (BC_1, *, control, 0), "& " 575 (BC_1, IO_C10, input, X), "& --BSR E11 IO_E11. Scan Cell 192 " 576 (BC_1, IO_E11, output3, X, 577, 0, Z), "& " 577 (BC_1, *, control, 0), "& " 578 (BC_1, IO_E11, input, X), "& --BSR E10 IO_E10. Scan Cell 193 " 579 (BC_1, IO_E10, output3, X, 580, 0, Z), "& " 580 (BC_1, *, control, 0), "& " 581 (BC_1, IO_E10, input, X), "& --BSR H14 IO_H14. Scan Cell 194 " 582 (BC_1, IO_H14, output3, X, 583, 0, Z), "& " 583 (BC_1, *, control, 0), "& " 584 (BC_1, IO_H14, input, X), "& --BSR H13 IO_H13. Scan Cell 195 " 585 (BC_1, IO_H13, output3, X, 586, 0, Z), "& " 586 (BC_1, *, control, 0), "& " 587 (BC_1, IO_H13, input, X), "& --BSR G13 IO_G13. Scan Cell 196 " 588 (BC_1, IO_G13, output3, X, 589, 0, Z), "& " 589 (BC_1, *, control, 0), "& " 590 (BC_1, IO_G13, input, X), "& --BSR G12 IO_G12. Scan Cell 197 " 591 (BC_1, IO_G12, output3, X, 592, 0, Z), "& " 592 (BC_1, *, control, 0), "& " 593 (BC_1, IO_G12, input, X), "& --BSR A8 IO_A8. Scan Cell 198 " 594 (BC_1, IO_A8, output3, X, 595, 0, Z), "& " 595 (BC_1, *, control, 0), "& " 596 (BC_1, IO_A8, input, X), "& --BSR A7 IO_A7. Scan Cell 199 " 597 (BC_1, IO_A7, output3, X, 598, 0, Z), "& " 598 (BC_1, *, control, 0), "& " 599 (BC_1, IO_A7, input, X), "& --BSR J13 IO_J13. Scan Cell 200 " 600 (BC_1, IO_J13, output3, X, 601, 0, Z), "& " 601 (BC_1, *, control, 0), "& " 602 (BC_1, IO_J13, input, X), "& --BSR J14 IO_J14. Scan Cell 201 " 603 (BC_1, IO_J14, output3, X, 604, 0, Z), "& " 604 (BC_1, *, control, 0), "& " 605 (BC_1, IO_J14, input, X), "& --BSR D9 IO_D9. Scan Cell 202 " 606 (BC_1, IO_D9, output3, X, 607, 0, Z), "& " 607 (BC_1, *, control, 0), "& " 608 (BC_1, IO_D9, input, X), "& --BSR E9 IO_E9. Scan Cell 203 " 609 (BC_1, IO_E9, output3, X, 610, 0, Z), "& " 610 (BC_1, *, control, 0), "& " 611 (BC_1, IO_E9, input, X), "& --BSR F10 IO_F10. Scan Cell 204 " 612 (BC_1, IO_F10, output3, X, 613, 0, Z), "& " 613 (BC_1, *, control, 0), "& " 614 (BC_1, IO_F10, input, X), "& --BSR F9 IO_F9. Scan Cell 205 " 615 (BC_1, IO_F9, output3, X, 616, 0, Z), "& " 616 (BC_1, *, control, 0), "& " 617 (BC_1, IO_F9, input, X), "& --BSR G11 IO_G11. Scan Cell 206 " 618 (BC_1, IO_G11, output3, X, 619, 0, Z), "& " 619 (BC_1, *, control, 0), "& " 620 (BC_1, IO_G11, input, X), "& --BSR G10 IO_G10. Scan Cell 207 " 621 (BC_1, IO_G10, output3, X, 622, 0, Z), "& " 622 (BC_1, *, control, 0), "& " 623 (BC_1, IO_G10, input, X), "& --BSR C9 IO_C9. Scan Cell 208 " 624 (BC_1, IO_C9, output3, X, 625, 0, Z), "& " 625 (BC_1, *, control, 0), "& " 626 (BC_1, IO_C9, input, X), "& --BSR C8 IO_C8. Scan Cell 209 " 627 (BC_1, IO_C8, output3, X, 628, 0, Z), "& " 628 (BC_1, *, control, 0), "& " 629 (BC_1, IO_C8, input, X), "& --BSR B6 IO_B6. Scan Cell 210 " 630 (BC_1, IO_B6, output3, X, 631, 0, Z), "& " 631 (BC_1, *, control, 0), "& " 632 (BC_1, IO_B6, input, X), "& --BSR B5 IO_B5. Scan Cell 211 " 633 (BC_1, IO_B5, output3, X, 634, 0, Z), "& " 634 (BC_1, *, control, 0), "& " 635 (BC_1, IO_B5, input, X), "& --BSR J9 IO_J9. Scan Cell 212 " 636 (BC_1, IO_J9, output3, X, 637, 0, Z), "& " 637 (BC_1, *, control, 0), "& " 638 (BC_1, IO_J9, input, X), "& --BSR H10 IO_H10. Scan Cell 213 " 639 (BC_1, IO_H10, output3, X, 640, 0, Z), "& " 640 (BC_1, *, control, 0), "& " 641 (BC_1, IO_H10, input, X), "& --BSR E7 IO_E7. Scan Cell 214 " 642 (BC_1, IO_E7, output3, X, 643, 0, Z), "& " 643 (BC_1, *, control, 0), "& " 644 (BC_1, IO_E7, input, X), "& --BSR E6 IO_E6. Scan Cell 215 " 645 (BC_1, IO_E6, output3, X, 646, 0, Z), "& " 646 (BC_1, *, control, 0), "& " 647 (BC_1, IO_E6, input, X), "& --BSR B8 IO_B8. Scan Cell 216 " 648 (BC_1, IO_B8, output3, X, 649, 0, Z), "& " 649 (BC_1, *, control, 0), "& " 650 (BC_1, IO_B8, input, X), "& --BSR B7 IO_B7. Scan Cell 217 " 651 (BC_1, IO_B7, output3, X, 652, 0, Z), "& " 652 (BC_1, *, control, 0), "& " 653 (BC_1, IO_B7, input, X), "& --BSR K12 IO_K12. Scan Cell 218 " 654 (BC_1, IO_K12, output3, X, 655, 0, Z), "& " 655 (BC_1, *, control, 0), "& " 656 (BC_1, IO_K12, input, X), "& --BSR J12 IO_J12. Scan Cell 219 " 657 (BC_1, IO_J12, output3, X, 658, 0, Z), "& " 658 (BC_1, *, control, 0), "& " 659 (BC_1, IO_J12, input, X), "& --BSR D8 IO_D8. Scan Cell 220 " 660 (BC_1, IO_D8, output3, X, 661, 0, Z), "& " 661 (BC_1, *, control, 0), "& " 662 (BC_1, IO_D8, input, X), "& --BSR D7 IO_D7. Scan Cell 221 " 663 (BC_1, IO_D7, output3, X, 664, 0, Z), "& " 664 (BC_1, *, control, 0), "& " 665 (BC_1, IO_D7, input, X), "& --BSR F8 IO_F8. Scan Cell 222 " 666 (BC_1, IO_F8, output3, X, 667, 0, Z), "& " 667 (BC_1, *, control, 0), "& " 668 (BC_1, IO_F8, input, X), "& --BSR F7 IO_F7. Scan Cell 223 " 669 (BC_1, IO_F7, output3, X, 670, 0, Z), "& " 670 (BC_1, *, control, 0), "& " 671 (BC_1, IO_F7, input, X), "& --BSR J11 IO_J11. Scan Cell 224 " 672 (BC_1, IO_J11, output3, X, 673, 0, Z), "& " 673 (BC_1, *, control, 0), "& " 674 (BC_1, IO_J11, input, X), "& --BSR H11 IO_H11. Scan Cell 225 " 675 (BC_1, IO_H11, output3, X, 676, 0, Z), "& " 676 (BC_1, *, control, 0), "& " 677 (BC_1, IO_H11, input, X), "& --BSR A5 IO_A5. Scan Cell 226 " 678 (BC_1, IO_A5, output3, X, 679, 0, Z), "& " 679 (BC_1, *, control, 0), "& " 680 (BC_1, IO_A5, input, X), "& --BSR A4 IO_A4. Scan Cell 227 " 681 (BC_1, IO_A4, output3, X, 682, 0, Z), "& " 682 (BC_1, *, control, 0), "& " 683 (BC_1, IO_A4, input, X), "& --BSR C6 IO_C6. Scan Cell 228 " 684 (BC_1, IO_C6, output3, X, 685, 0, Z), "& " 685 (BC_1, *, control, 0), "& " 686 (BC_1, IO_C6, input, X), "& --BSR D6 IO_D6. Scan Cell 229 " 687 (BC_1, IO_D6, output3, X, 688, 0, Z), "& " 688 (BC_1, *, control, 0), "& " 689 (BC_1, IO_D6, input, X), "& --BSR H9 IO_H9. Scan Cell 230 " 690 (BC_1, IO_H9, output3, X, 691, 0, Z), "& " 691 (BC_1, *, control, 0), "& " 692 (BC_1, IO_H9, input, X), "& --BSR H8 IO_H8. Scan Cell 231 " 693 (BC_1, IO_H8, output3, X, 694, 0, Z), "& " 694 (BC_1, *, control, 0), "& " 695 (BC_1, IO_H8, input, X), "& --BSR G8 IO_G8. Scan Cell 232 " 696 (BC_1, IO_G8, output3, X, 697, 0, Z), "& " 697 (BC_1, *, control, 0), "& " 698 (BC_1, IO_G8, input, X), "& --BSR G7 IO_G7. Scan Cell 233 " 699 (BC_1, IO_G7, output3, X, 700, 0, Z), "& " 700 (BC_1, *, control, 0), "& " 701 (BC_1, IO_G7, input, X), "& --BSR B3 IO_B3. Scan Cell 234 " 702 (BC_1, IO_B3, output3, X, 703, 0, Z), "& " 703 (BC_1, *, control, 0), "& " 704 (BC_1, IO_B3, input, X), "& --BSR C3 IO_C3. Scan Cell 235 " 705 (BC_1, IO_C3, output3, X, 706, 0, Z), "& " 706 (BC_1, *, control, 0), "& " 707 (BC_1, IO_C3, input, X), "& --BSR K11 IO_K11. Scan Cell 236 " 708 (BC_1, IO_K11, output3, X, 709, 0, Z), "& " 709 (BC_1, *, control, 0), "& " 710 (BC_1, IO_K11, input, X), "& --BSR K10 IO_K10. Scan Cell 237 " 711 (BC_1, IO_K10, output3, X, 712, 0, Z), "& " 712 (BC_1, *, control, 0), "& " 713 (BC_1, IO_K10, input, X), "& --BSR C5 IO_C5. Scan Cell 238 " 714 (BC_1, IO_C5, output3, X, 715, 0, Z), "& " 715 (BC_1, *, control, 0), "& " 716 (BC_1, IO_C5, input, X), "& --BSR C4 IO_C4. Scan Cell 239 " 717 (BC_1, IO_C4, output3, X, 718, 0, Z), "& " 718 (BC_1, *, control, 0), "& " 719 (BC_1, IO_C4, input, X), "& --BSR E5 IO_E5. Scan Cell 240 " 720 (BC_1, IO_E5, output3, X, 721, 0, Z), "& " 721 (BC_1, *, control, 0), "& " 722 (BC_1, IO_E5, input, X), "& --BSR F5 IO_F5. Scan Cell 241 " 723 (BC_1, IO_F5, output3, X, 724, 0, Z), "& " 724 (BC_1, *, control, 0), "& " 725 (BC_1, IO_F5, input, X), "& --BSR M10 IO_M10. Scan Cell 242 " 726 (BC_1, IO_M10, output3, X, 727, 0, Z), "& " 727 (BC_1, *, control, 0), "& " 728 (BC_1, IO_M10, input, X), "& --BSR L10 IO_L10. Scan Cell 243 " 729 (BC_1, IO_L10, output3, X, 730, 0, Z), "& " 730 (BC_1, *, control, 0), "& " 731 (BC_1, IO_L10, input, X), "& --BSR D2 IO_D2. Scan Cell 244 " 732 (BC_1, IO_D2, output3, X, 733, 0, Z), "& " 733 (BC_1, *, control, 0), "& " 734 (BC_1, IO_D2, input, X), "& --BSR D1 IO_D1. Scan Cell 245 " 735 (BC_1, IO_D1, output3, X, 736, 0, Z), "& " 736 (BC_1, *, control, 0), "& " 737 (BC_1, IO_D1, input, X), "& --BSR L9 IO_L9. Scan Cell 246 " 738 (BC_1, IO_L9, output3, X, 739, 0, Z), "& " 739 (BC_1, *, control, 0), "& " 740 (BC_1, IO_L9, input, X), "& --BSR K9 IO_K9. Scan Cell 247 " 741 (BC_1, IO_K9, output3, X, 742, 0, Z), "& " 742 (BC_1, *, control, 0), "& " 743 (BC_1, IO_K9, input, X), "& --BSR M12 IO_M12. Scan Cell 248 " 744 (BC_1, IO_M12, output3, X, 745, 0, Z), "& " 745 (BC_1, *, control, 0), "& " 746 (BC_1, IO_M12, input, X), "& --BSR N12 IO_N12. Scan Cell 249 " 747 (BC_1, IO_N12, output3, X, 748, 0, Z), "& " 748 (BC_1, *, control, 0), "& " 749 (BC_1, IO_N12, input, X), "& --BSR G5 IO_G5. Scan Cell 250 " 750 (BC_1, IO_G5, output3, X, 751, 0, Z), "& " 751 (BC_1, *, control, 0), "& " 752 (BC_1, IO_G5, input, X), "& --BSR H5 IO_H5. Scan Cell 251 " 753 (BC_1, IO_H5, output3, X, 754, 0, Z), "& " 754 (BC_1, *, control, 0), "& " 755 (BC_1, IO_H5, input, X), "& --BSR E2 IO_E2. Scan Cell 252 " 756 (BC_1, IO_E2, output3, X, 757, 0, Z), "& " 757 (BC_1, *, control, 0), "& " 758 (BC_1, IO_E2, input, X), "& --BSR E1 IO_E1. Scan Cell 253 " 759 (BC_1, IO_E1, output3, X, 760, 0, Z), "& " 760 (BC_1, *, control, 0), "& " 761 (BC_1, IO_E1, input, X), "& --BSR G6 IO_G6. Scan Cell 254 " 762 (BC_1, IO_G6, output3, X, 763, 0, Z), "& " 763 (BC_1, *, control, 0), "& " 764 (BC_1, IO_G6, input, X), "& --BSR H6 IO_H6. Scan Cell 255 " 765 (BC_1, IO_H6, output3, X, 766, 0, Z), "& " 766 (BC_1, *, control, 0), "& " 767 (BC_1, IO_H6, input, X), "& --BSR M11 IO_M11. Scan Cell 256 " 768 (BC_1, IO_M11, output3, X, 769, 0, Z), "& " 769 (BC_1, *, control, 0), "& " 770 (BC_1, IO_M11, input, X), "& --BSR L12 IO_L12. Scan Cell 257 " 771 (BC_1, IO_L12, output3, X, 772, 0, Z), "& " 772 (BC_1, *, control, 0), "& " 773 (BC_1, IO_L12, input, X), "& --BSR D4 IO_D4. Scan Cell 258 " 774 (BC_1, IO_D4, output3, X, 775, 0, Z), "& " 775 (BC_1, *, control, 0), "& " 776 (BC_1, IO_D4, input, X), "& --BSR D3 IO_D3. Scan Cell 259 " 777 (BC_1, IO_D3, output3, X, 778, 0, Z), "& " 778 (BC_1, *, control, 0), "& " 779 (BC_1, IO_D3, input, X), "& --BSR K7 IO_K7. Scan Cell 260 " 780 (BC_1, IO_K7, output3, X, 781, 0, Z), "& " 781 (BC_1, *, control, 0), "& " 782 (BC_1, IO_K7, input, X), "& --BSR L7 IO_L7. Scan Cell 261 " 783 (BC_1, IO_L7, output3, X, 784, 0, Z), "& " 784 (BC_1, *, control, 0), "& " 785 (BC_1, IO_L7, input, X), "& --BSR F3 IO_F3. Scan Cell 262 " 786 (BC_1, IO_F3, output3, X, 787, 0, Z), "& " 787 (BC_1, *, control, 0), "& " 788 (BC_1, IO_F3, input, X), "& --BSR F2 IO_F2. Scan Cell 263 " 789 (BC_1, IO_F2, output3, X, 790, 0, Z), "& " 790 (BC_1, *, control, 0), "& " 791 (BC_1, IO_F2, input, X), "& --BSR G3 IO_G3. Scan Cell 264 " 792 (BC_1, IO_G3, output3, X, 793, 0, Z), "& " 793 (BC_1, *, control, 0), "& " 794 (BC_1, IO_G3, input, X), "& --BSR G2 IO_G2. Scan Cell 265 " 795 (BC_1, IO_G2, output3, X, 796, 0, Z), "& " 796 (BC_1, *, control, 0), "& " 797 (BC_1, IO_G2, input, X), "& --BSR N10 IO_N10. Scan Cell 266 " 798 (BC_1, IO_N10, output3, X, 799, 0, Z), "& " 799 (BC_1, *, control, 0), "& " 800 (BC_1, IO_N10, input, X), "& --BSR N11 IO_N11. Scan Cell 267 " 801 (BC_1, IO_N11, output3, X, 802, 0, Z), "& " 802 (BC_1, *, control, 0), "& " 803 (BC_1, IO_N11, input, X), "& --BSR H4 IO_H4. Scan Cell 268 " 804 (BC_1, IO_H4, output3, X, 805, 0, Z), "& " 805 (BC_1, *, control, 0), "& " 806 (BC_1, IO_H4, input, X), "& --BSR J4 IO_J4. Scan Cell 269 " 807 (BC_1, IO_J4, output3, X, 808, 0, Z), "& " 808 (BC_1, *, control, 0), "& " 809 (BC_1, IO_J4, input, X), "& --BSR J8 IO_J8. Scan Cell 270 " 810 (BC_1, IO_J8, output3, X, 811, 0, Z), "& " 811 (BC_1, *, control, 0), "& " 812 (BC_1, IO_J8, input, X), "& --BSR J7 IO_J7. Scan Cell 271 " 813 (BC_1, IO_J7, output3, X, 814, 0, Z), "& " 814 (BC_1, *, control, 0), "& " 815 (BC_1, IO_J7, input, X), "& --BSR J6 IO_J6. Scan Cell 272 " 816 (BC_1, IO_J6, output3, X, 817, 0, Z), "& " 817 (BC_1, *, control, 0), "& " 818 (BC_1, IO_J6, input, X), "& --BSR K6 IO_K6. Scan Cell 273 " 819 (BC_1, IO_K6, output3, X, 820, 0, Z), "& " 820 (BC_1, *, control, 0), "& " 821 (BC_1, IO_K6, input, X), "& --BSR F4 IO_F4. Scan Cell 274 " 822 (BC_1, IO_F4, output3, X, 823, 0, Z), "& " 823 (BC_1, *, control, 0), "& " 824 (BC_1, IO_F4, input, X), "& --BSR E4 IO_E4. Scan Cell 275 " 825 (BC_1, IO_E4, output3, X, 826, 0, Z), "& " 826 (BC_1, *, control, 0), "& " 827 (BC_1, IO_E4, input, X), "& --BSR H3 IO_H3. Scan Cell 276 " 828 (BC_1, IO_H3, output3, X, 829, 0, Z), "& " 829 (BC_1, *, control, 0), "& " 830 (BC_1, IO_H3, input, X), "& --BSR J3 IO_J3. Scan Cell 277 " 831 (BC_1, IO_J3, output3, X, 832, 0, Z), "& " 832 (BC_1, *, control, 0), "& " 833 (BC_1, IO_J3, input, X), "& --BSR P11 IO_P11. Scan Cell 278 " 834 (BC_1, IO_P11, output3, X, 835, 0, Z), "& " 835 (BC_1, *, control, 0), "& " 836 (BC_1, IO_P11, input, X), "& --BSR R11 IO_R11. Scan Cell 279 " 837 (BC_1, IO_R11, output3, X, 838, 0, Z), "& " 838 (BC_1, *, control, 0), "& " 839 (BC_1, IO_R11, input, X), "& --BSR P9 IO_P9. Scan Cell 280 " 840 (BC_1, IO_P9, output3, X, 841, 0, Z), "& " 841 (BC_1, *, control, 0), "& " 842 (BC_1, IO_P9, input, X), "& --BSR N9 IO_N9. Scan Cell 281 " 843 (BC_1, IO_N9, output3, X, 844, 0, Z), "& " 844 (BC_1, *, control, 0), "& " 845 (BC_1, IO_N9, input, X), "& --BSR K5 IO_K5. Scan Cell 282 " 846 (BC_1, IO_K5, output3, X, 847, 0, Z), "& " 847 (BC_1, *, control, 0), "& " 848 (BC_1, IO_K5, input, X), "& --BSR K4 IO_K4. Scan Cell 283 " 849 (BC_1, IO_K4, output3, X, 850, 0, Z), "& " 850 (BC_1, *, control, 0), "& " 851 (BC_1, IO_K4, input, X), "& --BSR N8 IO_N8. Scan Cell 284 " 852 (BC_1, IO_N8, output3, X, 853, 0, Z), "& " 853 (BC_1, *, control, 0), "& " 854 (BC_1, IO_N8, input, X), "& --BSR P8 IO_P8. Scan Cell 285 " 855 (BC_1, IO_P8, output3, X, 856, 0, Z), "& " 856 (BC_1, *, control, 0), "& " 857 (BC_1, IO_P8, input, X), "& --BSR G1 IO_G1. Scan Cell 286 " 858 (BC_1, IO_G1, output3, X, 859, 0, Z), "& " 859 (BC_1, *, control, 0), "& " 860 (BC_1, IO_G1, input, X), "& --BSR H1 IO_H1. Scan Cell 287 " 861 (BC_1, IO_H1, output3, X, 862, 0, Z), "& " 862 (BC_1, *, control, 0), "& " 863 (BC_1, IO_H1, input, X), "& --BSR R9 IO_R9. Scan Cell 288 " 864 (BC_1, IO_R9, output3, X, 865, 0, Z), "& " 865 (BC_1, *, control, 0), "& " 866 (BC_1, IO_R9, input, X), "& --BSR R10 IO_R10. Scan Cell 289 " 867 (BC_1, IO_R10, output3, X, 868, 0, Z), "& " 868 (BC_1, *, control, 0), "& " 869 (BC_1, IO_R10, input, X), "& --BSR R12 IO_R12. Scan Cell 290 " 870 (BC_1, IO_R12, output3, X, 871, 0, Z), "& " 871 (BC_1, *, control, 0), "& " 872 (BC_1, IO_R12, input, X), "& --BSR T12 IO_T12. Scan Cell 291 " 873 (BC_1, IO_T12, output3, X, 874, 0, Z), "& " 874 (BC_1, *, control, 0), "& " 875 (BC_1, IO_T12, input, X), "& --BSR L5 IO_L5. Scan Cell 292 " 876 (BC_1, IO_L5, output3, X, 877, 0, Z), "& " 877 (BC_1, *, control, 0), "& " 878 (BC_1, IO_L5, input, X), "& --BSR M5 IO_M5. Scan Cell 293 " 879 (BC_1, IO_M5, output3, X, 880, 0, Z), "& " 880 (BC_1, *, control, 0), "& " 881 (BC_1, IO_M5, input, X), "& --BSR J2 IO_J2. Scan Cell 294 " 882 (BC_1, IO_J2, output3, X, 883, 0, Z), "& " 883 (BC_1, *, control, 0), "& " 884 (BC_1, IO_J2, input, X), "& --BSR J1 IO_J1. Scan Cell 295 " 885 (BC_1, IO_J1, output3, X, 886, 0, Z), "& " 886 (BC_1, *, control, 0), "& " 887 (BC_1, IO_J1, input, X), "& --BSR M7 IO_M7. Scan Cell 296 " 888 (BC_1, IO_M7, output3, X, 889, 0, Z), "& " 889 (BC_1, *, control, 0), "& " 890 (BC_1, IO_M7, input, X), "& --BSR M6 IO_M6. Scan Cell 297 " 891 (BC_1, IO_M6, output3, X, 892, 0, Z), "& " 892 (BC_1, *, control, 0), "& " 893 (BC_1, IO_M6, input, X), "& --BSR U10 IO_U10. Scan Cell 298 " 894 (BC_1, IO_U10, output3, X, 895, 0, Z), "& " 895 (BC_1, *, control, 0), "& " 896 (BC_1, IO_U10, input, X), "& --BSR T10 IO_T10. Scan Cell 299 " 897 (BC_1, IO_T10, output3, X, 898, 0, Z), "& " 898 (BC_1, *, control, 0), "& " 899 (BC_1, IO_T10, input, X), "& --BSR L4 IO_L4. Scan Cell 300 " 900 (BC_1, IO_L4, output3, X, 901, 0, Z), "& " 901 (BC_1, *, control, 0), "& " 902 (BC_1, IO_L4, input, X), "& --BSR L3 IO_L3. Scan Cell 301 " 903 (BC_1, IO_L3, output3, X, 904, 0, Z), "& " 904 (BC_1, *, control, 0), "& " 905 (BC_1, IO_L3, input, X), "& --BSR U12 IO_U12. Scan Cell 302 " 906 (BC_1, IO_U12, output3, X, 907, 0, Z), "& " 907 (BC_1, *, control, 0), "& " 908 (BC_1, IO_U12, input, X), "& --BSR U11 IO_U11. Scan Cell 303 " 909 (BC_1, IO_U11, output3, X, 910, 0, Z), "& " 910 (BC_1, *, control, 0), "& " 911 (BC_1, IO_U11, input, X), "& --BSR L8 IO_L8. Scan Cell 304 " 912 (BC_1, IO_L8, output3, X, 913, 0, Z), "& " 913 (BC_1, *, control, 0), "& " 914 (BC_1, IO_L8, input, X), "& --BSR M8 IO_M8. Scan Cell 305 " 915 (BC_1, IO_M8, output3, X, 916, 0, Z), "& " 916 (BC_1, *, control, 0), "& " 917 (BC_1, IO_M8, input, X), "& --BSR N6 IO_N6. Scan Cell 306 " 918 (BC_1, IO_N6, output3, X, 919, 0, Z), "& " 919 (BC_1, *, control, 0), "& " 920 (BC_1, IO_N6, input, X), "& --BSR N5 IO_N5. Scan Cell 307 " 921 (BC_1, IO_N5, output3, X, 922, 0, Z), "& " 922 (BC_1, *, control, 0), "& " 923 (BC_1, IO_N5, input, X), "& --BSR P7 IO_P7. Scan Cell 308 " 924 (BC_1, IO_P7, output3, X, 925, 0, Z), "& " 925 (BC_1, *, control, 0), "& " 926 (BC_1, IO_P7, input, X), "& --BSR P6 IO_P6. Scan Cell 309 " 927 (BC_1, IO_P6, output3, X, 928, 0, Z), "& " 928 (BC_1, *, control, 0), "& " 929 (BC_1, IO_P6, input, X), "& --BSR K2 IO_K2. Scan Cell 310 " 930 (BC_1, IO_K2, output3, X, 931, 0, Z), "& " 931 (BC_1, *, control, 0), "& " 932 (BC_1, IO_K2, input, X), "& --BSR K1 IO_K1. Scan Cell 311 " 933 (BC_1, IO_K1, output3, X, 934, 0, Z), "& " 934 (BC_1, *, control, 0), "& " 935 (BC_1, IO_K1, input, X), "& --BSR R6 IO_R6. Scan Cell 312 " 936 (BC_1, IO_R6, output3, X, 937, 0, Z), "& " 937 (BC_1, *, control, 0), "& " 938 (BC_1, IO_R6, input, X), "& --BSR R5 IO_R5. Scan Cell 313 " 939 (BC_1, IO_R5, output3, X, 940, 0, Z), "& " 940 (BC_1, *, control, 0), "& " 941 (BC_1, IO_R5, input, X), "& --BSR T9 IO_T9. Scan Cell 314 " 942 (BC_1, IO_T9, output3, X, 943, 0, Z), "& " 943 (BC_1, *, control, 0), "& " 944 (BC_1, IO_T9, input, X), "& --BSR T8 IO_T8. Scan Cell 315 " 945 (BC_1, IO_T8, output3, X, 946, 0, Z), "& " 946 (BC_1, *, control, 0), "& " 947 (BC_1, IO_T8, input, X), "& --BSR M3 IO_M3. Scan Cell 316 " 948 (BC_1, IO_M3, output3, X, 949, 0, Z), "& " 949 (BC_1, *, control, 0), "& " 950 (BC_1, IO_M3, input, X), "& --BSR L2 IO_L2. Scan Cell 317 " 951 (BC_1, IO_L2, output3, X, 952, 0, Z), "& " 952 (BC_1, *, control, 0), "& " 953 (BC_1, IO_L2, input, X), "& --BSR P4 IO_P4. Scan Cell 318 " 954 (BC_1, IO_P4, output3, X, 955, 0, Z), "& " 955 (BC_1, *, control, 0), "& " 956 (BC_1, IO_P4, input, X), "& --BSR R4 IO_R4. Scan Cell 319 " 957 (BC_1, IO_R4, output3, X, 958, 0, Z), "& " 958 (BC_1, *, control, 0), "& " 959 (BC_1, IO_R4, input, X), "& --BSR U8 IO_U8. Scan Cell 320 " 960 (BC_1, IO_U8, output3, X, 961, 0, Z), "& " 961 (BC_1, *, control, 0), "& " 962 (BC_1, IO_U8, input, X), "& --BSR U7 IO_U7. Scan Cell 321 " 963 (BC_1, IO_U7, output3, X, 964, 0, Z), "& " 964 (BC_1, *, control, 0), "& " 965 (BC_1, IO_U7, input, X), "& --BSR V8 IO_V8. Scan Cell 322 " 966 (BC_1, IO_V8, output3, X, 967, 0, Z), "& " 967 (BC_1, *, control, 0), "& " 968 (BC_1, IO_V8, input, X), "& --BSR V9 IO_V9. Scan Cell 323 " 969 (BC_1, IO_V9, output3, X, 970, 0, Z), "& " 970 (BC_1, *, control, 0), "& " 971 (BC_1, IO_V9, input, X), "& --BSR M2 IO_M2. Scan Cell 324 " 972 (BC_1, IO_M2, output3, X, 973, 0, Z), "& " 973 (BC_1, *, control, 0), "& " 974 (BC_1, IO_M2, input, X), "& --BSR M1 IO_M1. Scan Cell 325 " 975 (BC_1, IO_M1, output3, X, 976, 0, Z), "& " 976 (BC_1, *, control, 0), "& " 977 (BC_1, IO_M1, input, X), "& --BSR V11 IO_V11. Scan Cell 326 " 978 (BC_1, IO_V11, output3, X, 979, 0, Z), "& " 979 (BC_1, *, control, 0), "& " 980 (BC_1, IO_V11, input, X), "& --BSR V10 IO_V10. Scan Cell 327 " 981 (BC_1, IO_V10, output3, X, 982, 0, Z), "& " 982 (BC_1, *, control, 0), "& " 983 (BC_1, IO_V10, input, X), "& --BSR T5 IO_T5. Scan Cell 328 " 984 (BC_1, IO_T5, output3, X, 985, 0, Z), "& " 985 (BC_1, *, control, 0), "& " 986 (BC_1, IO_T5, input, X), "& --BSR T4 IO_T4. Scan Cell 329 " 987 (BC_1, IO_T4, output3, X, 988, 0, Z), "& " 988 (BC_1, *, control, 0), "& " 989 (BC_1, IO_T4, input, X), "& --BSR W8 IO_W8. Scan Cell 330 " 990 (BC_1, IO_W8, output3, X, 991, 0, Z), "& " 991 (BC_1, *, control, 0), "& " 992 (BC_1, IO_W8, input, X), "& --BSR W9 IO_W9. Scan Cell 331 " 993 (BC_1, IO_W9, output3, X, 994, 0, Z), "& " 994 (BC_1, *, control, 0), "& " 995 (BC_1, IO_W9, input, X), "& --BSR V12 IO_V12. Scan Cell 332 " 996 (BC_1, IO_V12, output3, X, 997, 0, Z), "& " 997 (BC_1, *, control, 0), "& " 998 (BC_1, IO_V12, input, X), "& --BSR W12 IO_W12. Scan Cell 333 " 999 (BC_1, IO_W12, output3, X, 1000, 0, Z), "& " 1000 (BC_1, *, control, 0), "& " 1001 (BC_1, IO_W12, input, X), "& --BSR P3 IO_P3. Scan Cell 334 " 1002 (BC_1, IO_P3, output3, X, 1003, 0, Z), "& " 1003 (BC_1, *, control, 0), "& " 1004 (BC_1, IO_P3, input, X), "& --BSR P2 IO_P2. Scan Cell 335 " 1005 (BC_1, IO_P2, output3, X, 1006, 0, Z), "& " 1006 (BC_1, *, control, 0), "& " 1007 (BC_1, IO_P2, input, X), "& --BSR N4 IO_N4. Scan Cell 336 " 1008 (BC_1, IO_N4, output3, X, 1009, 0, Z), "& " 1009 (BC_1, *, control, 0), "& " 1010 (BC_1, IO_N4, input, X), "& --BSR N3 IO_N3. Scan Cell 337 " 1011 (BC_1, IO_N3, output3, X, 1012, 0, Z), "& " 1012 (BC_1, *, control, 0), "& " 1013 (BC_1, IO_N3, input, X), "& --BSR R7 IO_R7. Scan Cell 338 " 1014 (BC_1, IO_R7, output3, X, 1015, 0, Z), "& " 1015 (BC_1, *, control, 0), "& " 1016 (BC_1, IO_R7, input, X), "& --BSR T7 IO_T7. Scan Cell 339 " 1017 (BC_1, IO_T7, output3, X, 1018, 0, Z), "& " 1018 (BC_1, *, control, 0), "& " 1019 (BC_1, IO_T7, input, X), "& --BSR N1 IO_N1. Scan Cell 340 " 1020 (BC_1, IO_N1, output3, X, 1021, 0, Z), "& " 1021 (BC_1, *, control, 0), "& " 1022 (BC_1, IO_N1, input, X), "& --BSR P1 IO_P1. Scan Cell 341 " 1023 (BC_1, IO_P1, output3, X, 1024, 0, Z), "& " 1024 (BC_1, *, control, 0), "& " 1025 (BC_1, IO_P1, input, X), "& --BSR Y9 IO_Y9. Scan Cell 342 " 1026 (BC_1, IO_Y9, output3, X, 1027, 0, Z), "& " 1027 (BC_1, *, control, 0), "& " 1028 (BC_1, IO_Y9, input, X), "& --BSR Y10 IO_Y10. Scan Cell 343 " 1029 (BC_1, IO_Y10, output3, X, 1030, 0, Z), "& " 1030 (BC_1, *, control, 0), "& " 1031 (BC_1, IO_Y10, input, X), "& --BSR U6 IO_U6. Scan Cell 344 " 1032 (BC_1, IO_U6, output3, X, 1033, 0, Z), "& " 1033 (BC_1, *, control, 0), "& " 1034 (BC_1, IO_U6, input, X), "& --BSR V6 IO_V6. Scan Cell 345 " 1035 (BC_1, IO_V6, output3, X, 1036, 0, Z), "& " 1036 (BC_1, *, control, 0), "& " 1037 (BC_1, IO_V6, input, X), "& --BSR U5 IO_U5. Scan Cell 346 " 1038 (BC_1, IO_U5, output3, X, 1039, 0, Z), "& " 1039 (BC_1, *, control, 0), "& " 1040 (BC_1, IO_U5, input, X), "& --BSR V5 IO_V5. Scan Cell 347 " 1041 (BC_1, IO_V5, output3, X, 1042, 0, Z), "& " 1042 (BC_1, *, control, 0), "& " 1043 (BC_1, IO_V5, input, X), "& --BSR R2 IO_R2. Scan Cell 348 " 1044 (BC_1, IO_R2, output3, X, 1045, 0, Z), "& " 1045 (BC_1, *, control, 0), "& " 1046 (BC_1, IO_R2, input, X), "& --BSR R1 IO_R1. Scan Cell 349 " 1047 (BC_1, IO_R1, output3, X, 1048, 0, Z), "& " 1048 (BC_1, *, control, 0), "& " 1049 (BC_1, IO_R1, input, X), "& --BSR Y11 IO_Y11. Scan Cell 350 " 1050 (BC_1, IO_Y11, output3, X, 1051, 0, Z), "& " 1051 (BC_1, *, control, 0), "& " 1052 (BC_1, IO_Y11, input, X), "& --BSR W11 IO_W11. Scan Cell 351 " 1053 (BC_1, IO_W11, output3, X, 1054, 0, Z), "& " 1054 (BC_1, *, control, 0), "& " 1055 (BC_1, IO_W11, input, X), "& --BSR AA10 IO_AA10. Scan Cell 352 " 1056 (BC_1, IO_AA10, output3, X, 1057, 0, Z), "& " 1057 (BC_1, *, control, 0), "& " 1058 (BC_1, IO_AA10, input, X), "& --BSR AA9 IO_AA9. Scan Cell 353 " 1059 (BC_1, IO_AA9, output3, X, 1060, 0, Z), "& " 1060 (BC_1, *, control, 0), "& " 1061 (BC_1, IO_AA9, input, X), "& --BSR T3 IO_T3. Scan Cell 354 " 1062 (BC_1, IO_T3, output3, X, 1063, 0, Z), "& " 1063 (BC_1, *, control, 0), "& " 1064 (BC_1, IO_T3, input, X), "& --BSR U3 IO_U3. Scan Cell 355 " 1065 (BC_1, IO_U3, output3, X, 1066, 0, Z), "& " 1066 (BC_1, *, control, 0), "& " 1067 (BC_1, IO_U3, input, X), "& --BSR AA8 IO_AA8. Scan Cell 356 " 1068 (BC_1, IO_AA8, output3, X, 1069, 0, Z), "& " 1069 (BC_1, *, control, 0), "& " 1070 (BC_1, IO_AA8, input, X), "& --BSR AB8 IO_AB8. Scan Cell 357 " 1071 (BC_1, IO_AB8, output3, X, 1072, 0, Z), "& " 1072 (BC_1, *, control, 0), "& " 1073 (BC_1, IO_AB8, input, X), "& --BSR T2 IO_T2. Scan Cell 358 " 1074 (BC_1, IO_T2, output3, X, 1075, 0, Z), "& " 1075 (BC_1, *, control, 0), "& " 1076 (BC_1, IO_T2, input, X), "& --BSR U2 IO_U2. Scan Cell 359 " 1077 (BC_1, IO_U2, output3, X, 1078, 0, Z), "& " 1078 (BC_1, *, control, 0), "& " 1079 (BC_1, IO_U2, input, X), "& --BSR U1 IO_U1. Scan Cell 360 " 1080 (BC_1, IO_U1, output3, X, 1081, 0, Z), "& " 1081 (BC_1, *, control, 0), "& " 1082 (BC_1, IO_U1, input, X), "& --BSR V1 IO_V1. Scan Cell 361 " 1083 (BC_1, IO_V1, output3, X, 1084, 0, Z), "& " 1084 (BC_1, *, control, 0), "& " 1085 (BC_1, IO_V1, input, X), "& --BSR W7 IO_W7. Scan Cell 362 " 1086 (BC_1, IO_W7, output3, X, 1087, 0, Z), "& " 1087 (BC_1, *, control, 0), "& " 1088 (BC_1, IO_W7, input, X), "& --BSR Y7 IO_Y7. Scan Cell 363 " 1089 (BC_1, IO_Y7, output3, X, 1090, 0, Z), "& " 1090 (BC_1, *, control, 0), "& " 1091 (BC_1, IO_Y7, input, X), "& --BSR AA7 IO_AA7. Scan Cell 364 " 1092 (BC_1, IO_AA7, output3, X, 1093, 0, Z), "& " 1093 (BC_1, *, control, 0), "& " 1094 (BC_1, IO_AA7, input, X), "& --BSR AB7 IO_AB7. Scan Cell 365 " 1095 (BC_1, IO_AB7, output3, X, 1096, 0, Z), "& " 1096 (BC_1, *, control, 0), "& " 1097 (BC_1, IO_AB7, input, X), "& --BSR V4 IO_V4. Scan Cell 366 " 1098 (BC_1, IO_V4, output3, X, 1099, 0, Z), "& " 1099 (BC_1, *, control, 0), "& " 1100 (BC_1, IO_V4, input, X), "& --BSR W4 IO_W4. Scan Cell 367 " 1101 (BC_1, IO_W4, output3, X, 1102, 0, Z), "& " 1102 (BC_1, *, control, 0), "& " 1103 (BC_1, IO_W4, input, X), "& --BSR AB11 IO_AB11. Scan Cell 368 " 1104 (BC_1, IO_AB11, output3, X, 1105, 0, Z), "& " 1105 (BC_1, *, control, 0), "& " 1106 (BC_1, IO_AB11, input, X), "& --BSR AB10 IO_AB10. Scan Cell 369 " 1107 (BC_1, IO_AB10, output3, X, 1108, 0, Z), "& " 1108 (BC_1, *, control, 0), "& " 1109 (BC_1, IO_AB10, input, X), "& --BSR W2 IO_W2. Scan Cell 370 " 1110 (BC_1, IO_W2, output3, X, 1111, 0, Z), "& " 1111 (BC_1, *, control, 0), "& " 1112 (BC_1, IO_W2, input, X), "& --BSR W1 IO_W1. Scan Cell 371 " 1113 (BC_1, IO_W1, output3, X, 1114, 0, Z), "& " 1114 (BC_1, *, control, 0), "& " 1115 (BC_1, IO_W1, input, X), "& --BSR V3 IO_V3. Scan Cell 372 " 1116 (BC_1, IO_V3, output3, X, 1117, 0, Z), "& " 1117 (BC_1, *, control, 0), "& " 1118 (BC_1, IO_V3, input, X), "& --BSR W3 IO_W3. Scan Cell 373 " 1119 (BC_1, IO_W3, output3, X, 1120, 0, Z), "& " 1120 (BC_1, *, control, 0), "& " 1121 (BC_1, IO_W3, input, X), "& --BSR AB12 IO_AB12. Scan Cell 374 " 1122 (BC_1, IO_AB12, output3, X, 1123, 0, Z), "& " 1123 (BC_1, *, control, 0), "& " 1124 (BC_1, IO_AB12, input, X), "& --BSR AA12 IO_AA12. Scan Cell 375 " 1125 (BC_1, IO_AA12, output3, X, 1126, 0, Z), "& " 1126 (BC_1, *, control, 0), "& " 1127 (BC_1, IO_AA12, input, X), "& --BSR W6 IO_W6. Scan Cell 376 " 1128 (BC_1, IO_W6, output3, X, 1129, 0, Z), "& " 1129 (BC_1, *, control, 0), "& " 1130 (BC_1, IO_W6, input, X), "& --BSR Y6 IO_Y6. Scan Cell 377 " 1131 (BC_1, IO_Y6, output3, X, 1132, 0, Z), "& " 1132 (BC_1, *, control, 0), "& " 1133 (BC_1, IO_Y6, input, X), "& --BSR Y2 IO_Y2. Scan Cell 378 " 1134 (BC_1, IO_Y2, output3, X, 1135, 0, Z), "& " 1135 (BC_1, *, control, 0), "& " 1136 (BC_1, IO_Y2, input, X), "& --BSR Y1 IO_Y1. Scan Cell 379 " 1137 (BC_1, IO_Y1, output3, X, 1138, 0, Z), "& " 1138 (BC_1, *, control, 0), "& " 1139 (BC_1, IO_Y1, input, X), "& --BSR Y4 IO_Y4. Scan Cell 380 " 1140 (BC_1, IO_Y4, output3, X, 1141, 0, Z), "& " 1141 (BC_1, *, control, 0), "& " 1142 (BC_1, IO_Y4, input, X), "& --BSR AA4 IO_AA4. Scan Cell 381 " 1143 (BC_1, IO_AA4, output3, X, 1144, 0, Z), "& " 1144 (BC_1, *, control, 0), "& " 1145 (BC_1, IO_AA4, input, X), "& --BSR Y5 IO_Y5. Scan Cell 382 " 1146 (BC_1, IO_Y5, output3, X, 1147, 0, Z), "& " 1147 (BC_1, *, control, 0), "& " 1148 (BC_1, IO_Y5, input, X), "& --BSR AA5 IO_AA5. Scan Cell 383 " 1149 (BC_1, IO_AA5, output3, X, 1150, 0, Z), "& " 1150 (BC_1, *, control, 0), "& " 1151 (BC_1, IO_AA5, input, X), "& --BSR AA3 IO_AA3. Scan Cell 384 " 1152 (BC_1, IO_AA3, output3, X, 1153, 0, Z), "& " 1153 (BC_1, *, control, 0), "& " 1154 (BC_1, IO_AA3, input, X), "& --BSR AB3 IO_AB3. Scan Cell 385 " 1155 (BC_1, IO_AB3, output3, X, 1156, 0, Z), "& " 1156 (BC_1, *, control, 0), "& " 1157 (BC_1, IO_AB3, input, X), "& --BSR AB5 IO_AB5. Scan Cell 386 " 1158 (BC_1, IO_AB5, output3, X, 1159, 0, Z), "& " 1159 (BC_1, *, control, 0), "& " 1160 (BC_1, IO_AB5, input, X), "& --BSR AB6 IO_AB6. Scan Cell 387 " 1161 (BC_1, IO_AB6, output3, X, 1162, 0, Z), "& " 1162 (BC_1, *, control, 0), "& " 1163 (BC_1, IO_AB6, input, X), "& --BSR AA2 IO_AA2. Scan Cell 388 " 1164 (BC_1, IO_AA2, output3, X, 1165, 0, Z), "& " 1165 (BC_1, *, control, 0), "& " 1166 (BC_1, IO_AA2, input, X), "& --BSR AB2 IO_AB2. Scan Cell 389 " 1167 (BC_1, IO_AB2, output3, X, 1168, 0, Z), "& " 1168 (BC_1, *, control, 0), "& " 1169 (BC_1, IO_AB2, input, X), "& --BSR AC9 IO_AC9. Scan Cell 390 " 1170 (BC_1, IO_AC9, output3, X, 1171, 0, Z), "& " 1171 (BC_1, *, control, 0), "& " 1172 (BC_1, IO_AC9, input, X), "& --BSR AC10 IO_AC10. Scan Cell 391 " 1173 (BC_1, IO_AC10, output3, X, 1174, 0, Z), "& " 1174 (BC_1, *, control, 0), "& " 1175 (BC_1, IO_AC10, input, X), "& --BSR AD11 IO_AD11. Scan Cell 392 " 1176 (BC_1, IO_AD11, output3, X, 1177, 0, Z), "& " 1177 (BC_1, *, control, 0), "& " 1178 (BC_1, IO_AD11, input, X), "& --BSR AC11 IO_AC11. Scan Cell 393 " 1179 (BC_1, IO_AC11, output3, X, 1180, 0, Z), "& " 1180 (BC_1, *, control, 0), "& " 1181 (BC_1, IO_AC11, input, X), "& --BSR AD7 IO_AD7. Scan Cell 394 " 1182 (BC_1, IO_AD7, output3, X, 1183, 0, Z), "& " 1183 (BC_1, *, control, 0), "& " 1184 (BC_1, IO_AD7, input, X), "& --BSR AE7 IO_AE7. Scan Cell 395 " 1185 (BC_1, IO_AE7, output3, X, 1186, 0, Z), "& " 1186 (BC_1, *, control, 0), "& " 1187 (BC_1, IO_AE7, input, X), "& --BSR AD9 IO_AD9. Scan Cell 396 " 1188 (BC_1, IO_AD9, output3, X, 1189, 0, Z), "& " 1189 (BC_1, *, control, 0), "& " 1190 (BC_1, IO_AD9, input, X), "& --BSR AE9 IO_AE9. Scan Cell 397 " 1191 (BC_1, IO_AE9, output3, X, 1192, 0, Z), "& " 1192 (BC_1, *, control, 0), "& " 1193 (BC_1, IO_AE9, input, X), "& --BSR AG8 IO_AG8. Scan Cell 398 " 1194 (BC_1, IO_AG8, output3, X, 1195, 0, Z), "& " 1195 (BC_1, *, control, 0), "& " 1196 (BC_1, IO_AG8, input, X), "& --BSR AH8 IO_AH8. Scan Cell 399 " 1197 (BC_1, IO_AH8, output3, X, 1198, 0, Z), "& " 1198 (BC_1, *, control, 0), "& " 1199 (BC_1, IO_AH8, input, X), "& --BSR AF7 IO_AF7. Scan Cell 400 " 1200 (BC_1, IO_AF7, output3, X, 1201, 0, Z), "& " 1201 (BC_1, *, control, 0), "& " 1202 (BC_1, IO_AF7, input, X), "& --BSR AF8 IO_AF8. Scan Cell 401 " 1203 (BC_1, IO_AF8, output3, X, 1204, 0, Z), "& " 1204 (BC_1, *, control, 0), "& " 1205 (BC_1, IO_AF8, input, X), "& --BSR AC8 IO_AC8. Scan Cell 402 " 1206 (BC_1, IO_AC8, output3, X, 1207, 0, Z), "& " 1207 (BC_1, *, control, 0), "& " 1208 (BC_1, IO_AC8, input, X), "& --BSR AD8 IO_AD8. Scan Cell 403 " 1209 (BC_1, IO_AD8, output3, X, 1210, 0, Z), "& " 1210 (BC_1, *, control, 0), "& " 1211 (BC_1, IO_AD8, input, X), "& --BSR AE11 IO_AE11. Scan Cell 404 " 1212 (BC_1, IO_AE11, output3, X, 1213, 0, Z), "& " 1213 (BC_1, *, control, 0), "& " 1214 (BC_1, IO_AE11, input, X), "& --BSR AE10 IO_AE10. Scan Cell 405 " 1215 (BC_1, IO_AE10, output3, X, 1216, 0, Z), "& " 1216 (BC_1, *, control, 0), "& " 1217 (BC_1, IO_AE10, input, X), "& --BSR AF9 IO_AF9. Scan Cell 406 " 1218 (BC_1, IO_AF9, output3, X, 1219, 0, Z), "& " 1219 (BC_1, *, control, 0), "& " 1220 (BC_1, IO_AF9, input, X), "& --BSR AF10 IO_AF10. Scan Cell 407 " 1221 (BC_1, IO_AF10, output3, X, 1222, 0, Z), "& " 1222 (BC_1, *, control, 0), "& " 1223 (BC_1, IO_AF10, input, X), "& --BSR AH9 IO_AH9. Scan Cell 408 " 1224 (BC_1, IO_AH9, output3, X, 1225, 0, Z), "& " 1225 (BC_1, *, control, 0), "& " 1226 (BC_1, IO_AH9, input, X), "& --BSR AH10 IO_AH10. Scan Cell 409 " 1227 (BC_1, IO_AH10, output3, X, 1228, 0, Z), "& " 1228 (BC_1, *, control, 0), "& " 1229 (BC_1, IO_AH10, input, X), "& --BSR AG10 IO_AG10. Scan Cell 410 " 1230 (BC_1, IO_AG10, output3, X, 1231, 0, Z), "& " 1231 (BC_1, *, control, 0), "& " 1232 (BC_1, IO_AG10, input, X), "& --BSR AG11 IO_AG11. Scan Cell 411 " 1233 (BC_1, IO_AG11, output3, X, 1234, 0, Z), "& " 1234 (BC_1, *, control, 0), "& " 1235 (BC_1, IO_AG11, input, X), "& --BSR AC6 IO_AC6. Scan Cell 412 " 1236 (BC_1, IO_AC6, output3, X, 1237, 0, Z), "& " 1237 (BC_1, *, control, 0), "& " 1238 (BC_1, IO_AC6, input, X), "& --BSR AC5 IO_AC5. Scan Cell 413 " 1239 (BC_1, IO_AC5, output3, X, 1240, 0, Z), "& " 1240 (BC_1, *, control, 0), "& " 1241 (BC_1, IO_AC5, input, X), "& --BSR AC4 IO_AC4. Scan Cell 414 " 1242 (BC_1, IO_AC4, output3, X, 1243, 0, Z), "& " 1243 (BC_1, *, control, 0), "& " 1244 (BC_1, IO_AC4, input, X), "& --BSR AD4 IO_AD4. Scan Cell 415 " 1245 (BC_1, IO_AD4, output3, X, 1246, 0, Z), "& " 1246 (BC_1, *, control, 0), "& " 1247 (BC_1, IO_AD4, input, X), "& --BSR AD6 IO_AD6. Scan Cell 416 " 1248 (BC_1, IO_AD6, output3, X, 1249, 0, Z), "& " 1249 (BC_1, *, control, 0), "& " 1250 (BC_1, IO_AD6, input, X), "& --BSR AE6 IO_AE6. Scan Cell 417 " 1251 (BC_1, IO_AE6, output3, X, 1252, 0, Z), "& " 1252 (BC_1, *, control, 0), "& " 1253 (BC_1, IO_AE6, input, X), "& --BSR AH4 IO_AH4. Scan Cell 418 " 1254 (BC_1, IO_AH4, output3, X, 1255, 0, Z), "& " 1255 (BC_1, *, control, 0), "& " 1256 (BC_1, IO_AH4, input, X), "& --BSR AH5 IO_AH5. Scan Cell 419 " 1257 (BC_1, IO_AH5, output3, X, 1258, 0, Z), "& " 1258 (BC_1, *, control, 0), "& " 1259 (BC_1, IO_AH5, input, X), "& --BSR AE4 IO_AE4. Scan Cell 420 " 1260 (BC_1, IO_AE4, output3, X, 1261, 0, Z), "& " 1261 (BC_1, *, control, 0), "& " 1262 (BC_1, IO_AE4, input, X), "& --BSR AF4 IO_AF4. Scan Cell 421 " 1263 (BC_1, IO_AF4, output3, X, 1264, 0, Z), "& " 1264 (BC_1, *, control, 0), "& " 1265 (BC_1, IO_AF4, input, X), "& --BSR AE5 IO_AE5. Scan Cell 422 " 1266 (BC_1, IO_AE5, output3, X, 1267, 0, Z), "& " 1267 (BC_1, *, control, 0), "& " 1268 (BC_1, IO_AE5, input, X), "& --BSR AF5 IO_AF5. Scan Cell 423 " 1269 (BC_1, IO_AF5, output3, X, 1270, 0, Z), "& " 1270 (BC_1, *, control, 0), "& " 1271 (BC_1, IO_AF5, input, X), "& --BSR AG7 IO_AG7. Scan Cell 424 " 1272 (BC_1, IO_AG7, output3, X, 1273, 0, Z), "& " 1273 (BC_1, *, control, 0), "& " 1274 (BC_1, IO_AG7, input, X), "& --BSR AH6 IO_AH6. Scan Cell 425 " 1275 (BC_1, IO_AH6, output3, X, 1276, 0, Z), "& " 1276 (BC_1, *, control, 0), "& " 1277 (BC_1, IO_AH6, input, X), "& --BSR AB1 IO_AB1. Scan Cell 426 " 1278 (BC_1, IO_AB1, output3, X, 1279, 0, Z), "& " 1279 (BC_1, *, control, 0), "& " 1280 (BC_1, IO_AB1, input, X), "& --BSR AC1 IO_AC1. Scan Cell 427 " 1281 (BC_1, IO_AC1, output3, X, 1282, 0, Z), "& " 1282 (BC_1, *, control, 0), "& " 1283 (BC_1, IO_AC1, input, X), "& --BSR AC3 IO_AC3. Scan Cell 428 " 1284 (BC_1, IO_AC3, output3, X, 1285, 0, Z), "& " 1285 (BC_1, *, control, 0), "& " 1286 (BC_1, IO_AC3, input, X), "& --BSR AD3 IO_AD3. Scan Cell 429 " 1287 (BC_1, IO_AD3, output3, X, 1288, 0, Z), "& " 1288 (BC_1, *, control, 0), "& " 1289 (BC_1, IO_AD3, input, X), "& --BSR AJ1 IO_AJ1. Scan Cell 430 " 1290 (BC_1, IO_AJ1, output3, X, 1291, 0, Z), "& " 1291 (BC_1, *, control, 0), "& " 1292 (BC_1, IO_AJ1, input, X), "& --BSR AK1 IO_AK1. Scan Cell 431 " 1293 (BC_1, IO_AK1, output3, X, 1294, 0, Z), "& " 1294 (BC_1, *, control, 0), "& " 1295 (BC_1, IO_AK1, input, X), "& --BSR AD1 IO_AD1. Scan Cell 432 " 1296 (BC_1, IO_AD1, output3, X, 1297, 0, Z), "& " 1297 (BC_1, *, control, 0), "& " 1298 (BC_1, IO_AD1, input, X), "& --BSR AE1 IO_AE1. Scan Cell 433 " 1299 (BC_1, IO_AE1, output3, X, 1300, 0, Z), "& " 1300 (BC_1, *, control, 0), "& " 1301 (BC_1, IO_AE1, input, X), "& --BSR AD2 IO_AD2. Scan Cell 434 " 1302 (BC_1, IO_AD2, output3, X, 1303, 0, Z), "& " 1303 (BC_1, *, control, 0), "& " 1304 (BC_1, IO_AD2, input, X), "& --BSR AE2 IO_AE2. Scan Cell 435 " 1305 (BC_1, IO_AE2, output3, X, 1306, 0, Z), "& " 1306 (BC_1, *, control, 0), "& " 1307 (BC_1, IO_AE2, input, X), "& --BSR AL2 IO_AL2. Scan Cell 436 " 1308 (BC_1, IO_AL2, output3, X, 1309, 0, Z), "& " 1309 (BC_1, *, control, 0), "& " 1310 (BC_1, IO_AL2, input, X), "& --BSR AM2 IO_AM2. Scan Cell 437 " 1311 (BC_1, IO_AM2, output3, X, 1312, 0, Z), "& " 1312 (BC_1, *, control, 0), "& " 1313 (BC_1, IO_AM2, input, X), "& --BSR AG1 IO_AG1. Scan Cell 438 " 1314 (BC_1, IO_AG1, output3, X, 1315, 0, Z), "& " 1315 (BC_1, *, control, 0), "& " 1316 (BC_1, IO_AG1, input, X), "& --BSR AH1 IO_AH1. Scan Cell 439 " 1317 (BC_1, IO_AH1, output3, X, 1318, 0, Z), "& " 1318 (BC_1, *, control, 0), "& " 1319 (BC_1, IO_AH1, input, X), "& --BSR AF3 IO_AF3. Scan Cell 440 " 1320 (BC_1, IO_AF3, output3, X, 1321, 0, Z), "& " 1321 (BC_1, *, control, 0), "& " 1322 (BC_1, IO_AF3, input, X), "& --BSR AF2 IO_AF2. Scan Cell 441 " 1323 (BC_1, IO_AF2, output3, X, 1324, 0, Z), "& " 1324 (BC_1, *, control, 0), "& " 1325 (BC_1, IO_AF2, input, X), "& --BSR AM1 IO_AM1. Scan Cell 442 " 1326 (BC_1, IO_AM1, output3, X, 1327, 0, Z), "& " 1327 (BC_1, *, control, 0), "& " 1328 (BC_1, IO_AM1, input, X), "& --BSR AN1 IO_AN1. Scan Cell 443 " 1329 (BC_1, IO_AN1, output3, X, 1330, 0, Z), "& " 1330 (BC_1, *, control, 0), "& " 1331 (BC_1, IO_AN1, input, X), "& --BSR AJ2 IO_AJ2. Scan Cell 444 " 1332 (BC_1, IO_AJ2, output3, X, 1333, 0, Z), "& " 1333 (BC_1, *, control, 0), "& " 1334 (BC_1, IO_AJ2, input, X), "& --BSR AK2 IO_AK2. Scan Cell 445 " 1335 (BC_1, IO_AK2, output3, X, 1336, 0, Z), "& " 1336 (BC_1, *, control, 0), "& " 1337 (BC_1, IO_AK2, input, X), "& --BSR AG3 IO_AG3. Scan Cell 446 " 1338 (BC_1, IO_AG3, output3, X, 1339, 0, Z), "& " 1339 (BC_1, *, control, 0), "& " 1340 (BC_1, IO_AG3, input, X), "& --BSR AG2 IO_AG2. Scan Cell 447 " 1341 (BC_1, IO_AG2, output3, X, 1342, 0, Z), "& " 1342 (BC_1, *, control, 0), "& " 1343 (BC_1, IO_AG2, input, X), "& --BSR AN3 IO_AN3. Scan Cell 448 " 1344 (BC_1, IO_AN3, output3, X, 1345, 0, Z), "& " 1345 (BC_1, *, control, 0), "& " 1346 (BC_1, IO_AN3, input, X), "& --BSR AN4 IO_AN4. Scan Cell 449 " 1347 (BC_1, IO_AN4, output3, X, 1348, 0, Z), "& " 1348 (BC_1, *, control, 0), "& " 1349 (BC_1, IO_AN4, input, X), "& --BSR AM3 IO_AM3. Scan Cell 450 " 1350 (BC_1, IO_AM3, output3, X, 1351, 0, Z), "& " 1351 (BC_1, *, control, 0), "& " 1352 (BC_1, IO_AM3, input, X), "& --BSR AL3 IO_AL3. Scan Cell 451 " 1353 (BC_1, IO_AL3, output3, X, 1354, 0, Z), "& " 1354 (BC_1, *, control, 0), "& " 1355 (BC_1, IO_AL3, input, X), "& --BSR AJ3 IO_AJ3. Scan Cell 452 " 1356 (BC_1, IO_AJ3, output3, X, 1357, 0, Z), "& " 1357 (BC_1, *, control, 0), "& " 1358 (BC_1, IO_AJ3, input, X), "& --BSR AH3 IO_AH3. Scan Cell 453 " 1359 (BC_1, IO_AH3, output3, X, 1360, 0, Z), "& " 1360 (BC_1, *, control, 0), "& " 1361 (BC_1, IO_AH3, input, X), "& --BSR AK7 IO_AK7. Scan Cell 454 " 1362 (BC_1, IO_AK7, output3, X, 1363, 0, Z), "& " 1363 (BC_1, *, control, 0), "& " 1364 (BC_1, IO_AK7, input, X), "& --BSR AL7 IO_AL7. Scan Cell 455 " 1365 (BC_1, IO_AL7, output3, X, 1366, 0, Z), "& " 1366 (BC_1, *, control, 0), "& " 1367 (BC_1, IO_AL7, input, X), "& --BSR AK9 IO_AK9. Scan Cell 456 " 1368 (BC_1, IO_AK9, output3, X, 1369, 0, Z), "& " 1369 (BC_1, *, control, 0), "& " 1370 (BC_1, IO_AK9, input, X), "& --BSR AK10 IO_AK10. Scan Cell 457 " 1371 (BC_1, IO_AK10, output3, X, 1372, 0, Z), "& " 1372 (BC_1, *, control, 0), "& " 1373 (BC_1, IO_AK10, input, X), "& --BSR AJ11 IO_AJ11. Scan Cell 458 " 1374 (BC_1, IO_AJ11, output3, X, 1375, 0, Z), "& " 1375 (BC_1, *, control, 0), "& " 1376 (BC_1, IO_AJ11, input, X), "& --BSR AH11 IO_AH11. Scan Cell 459 " 1377 (BC_1, IO_AH11, output3, X, 1378, 0, Z), "& " 1378 (BC_1, *, control, 0), "& " 1379 (BC_1, IO_AH11, input, X), "& --BSR AM7 IO_AM7. Scan Cell 460 " 1380 (BC_1, IO_AM7, output3, X, 1381, 0, Z), "& " 1381 (BC_1, *, control, 0), "& " 1382 (BC_1, IO_AM7, input, X), "& --BSR AM8 IO_AM8. Scan Cell 461 " 1383 (BC_1, IO_AM8, output3, X, 1384, 0, Z), "& " 1384 (BC_1, *, control, 0), "& " 1385 (BC_1, IO_AM8, input, X), "& --BSR AL8 IO_AL8. Scan Cell 462 " 1386 (BC_1, IO_AL8, output3, X, 1387, 0, Z), "& " 1387 (BC_1, *, control, 0), "& " 1388 (BC_1, IO_AL8, input, X), "& --BSR AL9 IO_AL9. Scan Cell 463 " 1389 (BC_1, IO_AL9, output3, X, 1390, 0, Z), "& " 1390 (BC_1, *, control, 0), "& " 1391 (BC_1, IO_AL9, input, X), "& --BSR AJ9 IO_AJ9. Scan Cell 464 " 1392 (BC_1, IO_AJ9, output3, X, 1393, 0, Z), "& " 1393 (BC_1, *, control, 0), "& " 1394 (BC_1, IO_AJ9, input, X), "& --BSR AJ8 IO_AJ8. Scan Cell 465 " 1395 (BC_1, IO_AJ8, output3, X, 1396, 0, Z), "& " 1396 (BC_1, *, control, 0), "& " 1397 (BC_1, IO_AJ8, input, X), "& --BSR AK6 IO_AK6. Scan Cell 466 " 1398 (BC_1, IO_AK6, output3, X, 1399, 0, Z), "& " 1399 (BC_1, *, control, 0), "& " 1400 (BC_1, IO_AK6, input, X), "& --BSR AK5 IO_AK5. Scan Cell 467 " 1401 (BC_1, IO_AK5, output3, X, 1402, 0, Z), "& " 1402 (BC_1, *, control, 0), "& " 1403 (BC_1, IO_AK5, input, X), "& --BSR AJ4 IO_AJ4. Scan Cell 468 " 1404 (BC_1, IO_AJ4, output3, X, 1405, 0, Z), "& " 1405 (BC_1, *, control, 0), "& " 1406 (BC_1, IO_AJ4, input, X), "& --BSR AK4 IO_AK4. Scan Cell 469 " 1407 (BC_1, IO_AK4, output3, X, 1408, 0, Z), "& " 1408 (BC_1, *, control, 0), "& " 1409 (BC_1, IO_AK4, input, X), "& --BSR AJ7 IO_AJ7. Scan Cell 470 " 1410 (BC_1, IO_AJ7, output3, X, 1411, 0, Z), "& " 1411 (BC_1, *, control, 0), "& " 1412 (BC_1, IO_AJ7, input, X), "& --BSR AJ6 IO_AJ6. Scan Cell 471 " 1413 (BC_1, IO_AJ6, output3, X, 1414, 0, Z), "& " 1414 (BC_1, *, control, 0), "& " 1415 (BC_1, IO_AJ6, input, X), "& --BSR AM6 IO_AM6. Scan Cell 472 " 1416 (BC_1, IO_AM6, output3, X, 1417, 0, Z), "& " 1417 (BC_1, *, control, 0), "& " 1418 (BC_1, IO_AM6, input, X), "& --BSR AN6 IO_AN6. Scan Cell 473 " 1419 (BC_1, IO_AN6, output3, X, 1420, 0, Z), "& " 1420 (BC_1, *, control, 0), "& " 1421 (BC_1, IO_AN6, input, X), "& --BSR AL5 IO_AL5. Scan Cell 474 " 1422 (BC_1, IO_AL5, output3, X, 1423, 0, Z), "& " 1423 (BC_1, *, control, 0), "& " 1424 (BC_1, IO_AL5, input, X), "& --BSR AL4 IO_AL4. Scan Cell 475 " 1425 (BC_1, IO_AL4, output3, X, 1426, 0, Z), "& " 1426 (BC_1, *, control, 0), "& " 1427 (BC_1, IO_AL4, input, X), "& --BSR AG6 IO_AG6. Scan Cell 476 " 1428 (BC_1, IO_AG6, output3, X, 1429, 0, Z), "& " 1429 (BC_1, *, control, 0), "& " 1430 (BC_1, IO_AG6, input, X), "& --BSR AG5 IO_AG5. Scan Cell 477 " 1431 (BC_1, IO_AG5, output3, X, 1432, 0, Z), "& " 1432 (BC_1, *, control, 0), "& " 1433 (BC_1, IO_AG5, input, X), "& --BSR AM5 IO_AM5. Scan Cell 478 " 1434 (BC_1, IO_AM5, output3, X, 1435, 0, Z), "& " 1435 (BC_1, *, control, 0), "& " 1436 (BC_1, IO_AM5, input, X), "& --BSR AN5 IO_AN5. Scan Cell 479 " 1437 (BC_1, IO_AN5, output3, X, 1438, 0, Z), "& " 1438 (BC_1, *, control, 0), "& " 1439 (BC_1, IO_AN5, input, X), "& --BSR AV4 IO_AV4. Scan Cell 480 " 1440 (BC_1, *,internal, 0), "& " 1441 (BC_1, *,internal, 0), "& " 1442 (BC_1, *,internal, 0), "& --BSR AW4 IO_AW4. Scan Cell 481 " 1443 (BC_1, *,internal, 0), "& " 1444 (BC_1, *,internal, 0), "& " 1445 (BC_1, *,internal, 0), "& --BSR AT3 IO_AT3. Scan Cell 482 " 1446 (BC_1, *,internal, 0), "& " 1447 (BC_1, *,internal, 0), "& " 1448 (BC_1, *,internal, 0), "& --BSR AY5 IO_AY5. Scan Cell 483 " 1449 (BC_1, *,internal, 0), "& " 1450 (BC_1, *,internal, 0), "& " 1451 (BC_1, *,internal, 0), "& --BSR BA5 IO_BA5. Scan Cell 484 " 1452 (BC_1, *,internal, 0), "& " 1453 (BC_1, *,internal, 0), "& " 1454 (BC_1, *,internal, 0), "& --BSR AT5 IO_AT5. Scan Cell 485 " 1455 (BC_1, *,internal, 0), "& " 1456 (BC_1, *,internal, 0), "& " 1457 (BC_1, *,internal, 0), "& --BSR AT7 IO_AT7. Scan Cell 486 " 1458 (BC_1, *,internal, X), "& " 1459 (BC_1, *,internal, 0), "& " 1460 (BC_1, IO_AT7, input, X), "& --BSR AU7 IO_AU7. Scan Cell 487 " 1461 (BC_1, *,internal, X), "& " 1462 (BC_1, *,internal, 0), "& " 1463 (BC_1, IO_AU7, input, X), "& --BSR AY7 IO_AY7. Scan Cell 488 " 1464 (BC_1, *,internal, 0), "& " 1465 (BC_1, *,internal, 0), "& " 1466 (BC_1, *,internal, 0), "& --BSR BA7 IO_BA7. Scan Cell 489 " 1467 (BC_1, *,internal, 0), "& " 1468 (BC_1, *,internal, 0), "& " 1469 (BC_1, *,internal, 0), "& --BSR AV6 IO_AV6. Scan Cell 490 " 1470 (BC_1, *,internal, 0), "& " 1471 (BC_1, *,internal, 0), "& " 1472 (BC_1, *,internal, 0), "& --BSR AY9 IO_AY9. Scan Cell 491 " 1473 (BC_1, *,internal, 0), "& " 1474 (BC_1, *,internal, 0), "& " 1475 (BC_1, *,internal, 0), "& --BSR BA9 IO_BA9. Scan Cell 492 " 1476 (BC_1, *,internal, 0), "& " 1477 (BC_1, *,internal, 0), "& " 1478 (BC_1, *,internal, 0), "& --BSR AV8 IO_AV8. Scan Cell 493 " 1479 (BC_1, *,internal, 0), "& " 1480 (BC_1, *,internal, 0), "& " 1481 (BC_1, *,internal, 0), "& --BSR AV10 IO_AV10. Scan Cell 494 " 1482 (BC_1, *,internal, 0), "& " 1483 (BC_1, *,internal, 0), "& " 1484 (BC_1, *,internal, 0), "& --BSR AW10 IO_AW10. Scan Cell 495 " 1485 (BC_1, *,internal, 0), "& " 1486 (BC_1, *,internal, 0), "& " 1487 (BC_1, *,internal, 0), "& --BSR AT9 IO_AT9. Scan Cell 496 " 1488 (BC_1, *,internal, 0), "& " 1489 (BC_1, *,internal, 0), "& " 1490 (BC_1, *,internal, 0), "& --BSR AY11 IO_AY11. Scan Cell 497 " 1491 (BC_1, *,internal, 0), "& " 1492 (BC_1, *,internal, 0), "& " 1493 (BC_1, *,internal, 0), "& --BSR BA11 IO_BA11. Scan Cell 498 " 1494 (BC_1, *,internal, 0), "& " 1495 (BC_1, *,internal, 0), "& " 1496 (BC_1, *,internal, 0), "& --BSR AT11 IO_AT11. Scan Cell 499 " 1497 (BC_1, *,internal, 0), "& " 1498 (BC_1, *,internal, 0), "& " 1499 (BC_1, *,internal, 0), "& --BSR AP12 IO_AP12. Scan Cell 500 " 1500 (BC_1, *,internal, X), "& " 1501 (BC_1, *,internal, 0), "& " 1502 (BC_1, IO_AP12, input, X), "& --BSR AR12 IO_AR12. Scan Cell 501 " 1503 (BC_1, *,internal, X), "& " 1504 (BC_1, *,internal, 0), "& " 1505 (BC_1, IO_AR12, input, X), "& --BSR AV12 IO_AV12. Scan Cell 502 " 1506 (BC_1, *,internal, 0), "& " 1507 (BC_1, *,internal, 0), "& " 1508 (BC_1, *,internal, 0), "& --BSR AW12 IO_AW12. Scan Cell 503 " 1509 (BC_1, *,internal, 0), "& " 1510 (BC_1, *,internal, 0), "& " 1511 (BC_1, *,internal, 0), "& --BSR AT13 IO_AT13. Scan Cell 504 " 1512 (BC_1, *,internal, 0), "& " 1513 (BC_1, *,internal, 0), "& " 1514 (BC_1, *,internal, 0), "& --BSR AY13 IO_AY13. Scan Cell 505 " 1515 (BC_1, *,internal, 0), "& " 1516 (BC_1, *,internal, 0), "& " 1517 (BC_1, *,internal, 0), "& --BSR BA13 IO_BA13. Scan Cell 506 " 1518 (BC_1, *,internal, 0), "& " 1519 (BC_1, *,internal, 0), "& " 1520 (BC_1, *,internal, 0), "& --BSR AV14 IO_AV14. Scan Cell 507 " 1521 (BC_1, *,internal, 0), "& " 1522 (BC_1, *,internal, 0), "& " 1523 (BC_1, *,internal, 0), "& --BSR AY15 IO_AY15. Scan Cell 508 " 1524 (BC_1, *,internal, 0), "& " 1525 (BC_1, *,internal, 0), "& " 1526 (BC_1, *,internal, 0), "& --BSR BA15 IO_BA15. Scan Cell 509 " 1527 (BC_1, *,internal, 0), "& " 1528 (BC_1, *,internal, 0), "& " 1529 (BC_1, *,internal, 0), "& --BSR AT15 IO_AT15. Scan Cell 510 " 1530 (BC_1, *,internal, 0), "& " 1531 (BC_1, *,internal, 0), "& " 1532 (BC_1, *,internal, 0), "& --BSR AV16 IO_AV16. Scan Cell 511 " 1533 (BC_1, *,internal, 0), "& " 1534 (BC_1, *,internal, 0), "& " 1535 (BC_1, *,internal, 0), "& --BSR AW16 IO_AW16. Scan Cell 512 " 1536 (BC_1, *,internal, 0), "& " 1537 (BC_1, *,internal, 0), "& " 1538 (BC_1, *,internal, 0), "& --BSR AT17 IO_AT17. Scan Cell 513 " 1539 (BC_1, *,internal, 0), "& " 1540 (BC_1, *,internal, 0), "& " 1541 (BC_1, *,internal, 0), "& --BSR AP16 IO_AP16. Scan Cell 514 " 1542 (BC_1, *,internal, X), "& " 1543 (BC_1, *,internal, 0), "& " 1544 (BC_1, IO_AP16, input, X), "& --BSR AR16 IO_AR16. Scan Cell 515 " 1545 (BC_1, *,internal, X), "& " 1546 (BC_1, *,internal, 0), "& " 1547 (BC_1, IO_AR16, input, X), "& --BSR AY17 IO_AY17. Scan Cell 516 " 1548 (BC_1, *,internal, 0), "& " 1549 (BC_1, *,internal, 0), "& " 1550 (BC_1, *,internal, 0), "& --BSR BA17 IO_BA17. Scan Cell 517 " 1551 (BC_1, *,internal, 0), "& " 1552 (BC_1, *,internal, 0), "& " 1553 (BC_1, *,internal, 0), "& --BSR AV18 IO_AV18. Scan Cell 518 " 1554 (BC_1, *,internal, 0), "& " 1555 (BC_1, *,internal, 0), "& " 1556 (BC_1, *,internal, 0), "& --BSR AY19 IO_AY19. Scan Cell 519 " 1557 (BC_1, *,internal, 0), "& " 1558 (BC_1, *,internal, 0), "& " 1559 (BC_1, *,internal, 0), "& --BSR BA19 IO_BA19. Scan Cell 520 " 1560 (BC_1, *,internal, 0), "& " 1561 (BC_1, *,internal, 0), "& " 1562 (BC_1, *,internal, 0), "& --BSR AT19 IO_AT19. Scan Cell 521 " 1563 (BC_1, *,internal, 0), "& " 1564 (BC_1, *,internal, 0), "& " 1565 (BC_1, *,internal, 0), "& --BSR BA23 IO_BA23. Scan Cell 522 " 1566 (BC_1, *,internal, 0), "& " 1567 (BC_1, *,internal, 0), "& " 1568 (BC_1, *,internal, 0), "& --BSR AY23 IO_AY23. Scan Cell 523 " 1569 (BC_1, *,internal, 0), "& " 1570 (BC_1, *,internal, 0), "& " 1571 (BC_1, *,internal, 0), "& --BSR AU23 IO_AU23. Scan Cell 524 " 1572 (BC_1, *,internal, 0), "& " 1573 (BC_1, *,internal, 0), "& " 1574 (BC_1, *,internal, 0), "& --BSR BA25 IO_BA25. Scan Cell 525 " 1575 (BC_1, *,internal, 0), "& " 1576 (BC_1, *,internal, 0), "& " 1577 (BC_1, *,internal, 0), "& --BSR AY25 IO_AY25. Scan Cell 526 " 1578 (BC_1, *,internal, 0), "& " 1579 (BC_1, *,internal, 0), "& " 1580 (BC_1, *,internal, 0), "& --BSR AW24 IO_AW24. Scan Cell 527 " 1581 (BC_1, *,internal, 0), "& " 1582 (BC_1, *,internal, 0), "& " 1583 (BC_1, *,internal, 0), "& --BSR AR26 IO_AR26. Scan Cell 528 " 1584 (BC_1, *,internal, X), "& " 1585 (BC_1, *,internal, 0), "& " 1586 (BC_1, IO_AR26, input, X), "& --BSR AP26 IO_AP26. Scan Cell 529 " 1587 (BC_1, *,internal, X), "& " 1588 (BC_1, *,internal, 0), "& " 1589 (BC_1, IO_AP26, input, X), "& --BSR AW26 IO_AW26. Scan Cell 530 " 1590 (BC_1, *,internal, 0), "& " 1591 (BC_1, *,internal, 0), "& " 1592 (BC_1, *,internal, 0), "& --BSR AV26 IO_AV26. Scan Cell 531 " 1593 (BC_1, *,internal, 0), "& " 1594 (BC_1, *,internal, 0), "& " 1595 (BC_1, *,internal, 0), "& --BSR AU25 IO_AU25. Scan Cell 532 " 1596 (BC_1, *,internal, 0), "& " 1597 (BC_1, *,internal, 0), "& " 1598 (BC_1, *,internal, 0), "& --BSR BA27 IO_BA27. Scan Cell 533 " 1599 (BC_1, *,internal, 0), "& " 1600 (BC_1, *,internal, 0), "& " 1601 (BC_1, *,internal, 0), "& --BSR AY27 IO_AY27. Scan Cell 534 " 1602 (BC_1, *,internal, 0), "& " 1603 (BC_1, *,internal, 0), "& " 1604 (BC_1, *,internal, 0), "& --BSR AU27 IO_AU27. Scan Cell 535 " 1605 (BC_1, *,internal, 0), "& " 1606 (BC_1, *,internal, 0), "& " 1607 (BC_1, *,internal, 0), "& --BSR BA29 IO_BA29. Scan Cell 536 " 1608 (BC_1, *,internal, 0), "& " 1609 (BC_1, *,internal, 0), "& " 1610 (BC_1, *,internal, 0), "& --BSR AY29 IO_AY29. Scan Cell 537 " 1611 (BC_1, *,internal, 0), "& " 1612 (BC_1, *,internal, 0), "& " 1613 (BC_1, *,internal, 0), "& --BSR AW28 IO_AW28. Scan Cell 538 " 1614 (BC_1, *,internal, 0), "& " 1615 (BC_1, *,internal, 0), "& " 1616 (BC_1, *,internal, 0), "& --BSR AW30 IO_AW30. Scan Cell 539 " 1617 (BC_1, *,internal, 0), "& " 1618 (BC_1, *,internal, 0), "& " 1619 (BC_1, *,internal, 0), "& --BSR AV30 IO_AV30. Scan Cell 540 " 1620 (BC_1, *,internal, 0), "& " 1621 (BC_1, *,internal, 0), "& " 1622 (BC_1, *,internal, 0), "& --BSR AU29 IO_AU29. Scan Cell 541 " 1623 (BC_1, *,internal, 0), "& " 1624 (BC_1, *,internal, 0), "& " 1625 (BC_1, *,internal, 0), "& --BSR AR30 IO_AR30. Scan Cell 542 " 1626 (BC_1, *,internal, X), "& " 1627 (BC_1, *,internal, 0), "& " 1628 (BC_1, IO_AR30, input, X), "& --BSR AP30 IO_AP30. Scan Cell 543 " 1629 (BC_1, *,internal, X), "& " 1630 (BC_1, *,internal, 0), "& " 1631 (BC_1, IO_AP30, input, X), "& --BSR BA31 IO_BA31. Scan Cell 544 " 1632 (BC_1, *,internal, 0), "& " 1633 (BC_1, *,internal, 0), "& " 1634 (BC_1, *,internal, 0), "& --BSR AY31 IO_AY31. Scan Cell 545 " 1635 (BC_1, *,internal, 0), "& " 1636 (BC_1, *,internal, 0), "& " 1637 (BC_1, *,internal, 0), "& --BSR AU31 IO_AU31. Scan Cell 546 " 1638 (BC_1, *,internal, 0), "& " 1639 (BC_1, *,internal, 0), "& " 1640 (BC_1, *,internal, 0), "& --BSR AW32 IO_AW32. Scan Cell 547 " 1641 (BC_1, *,internal, 0), "& " 1642 (BC_1, *,internal, 0), "& " 1643 (BC_1, *,internal, 0), "& --BSR AV32 IO_AV32. Scan Cell 548 " 1644 (BC_1, *,internal, 0), "& " 1645 (BC_1, *,internal, 0), "& " 1646 (BC_1, *,internal, 0), "& --BSR AU33 IO_AU33. Scan Cell 549 " 1647 (BC_1, *,internal, 0), "& " 1648 (BC_1, *,internal, 0), "& " 1649 (BC_1, *,internal, 0), "& --BSR BA33 IO_BA33. Scan Cell 550 " 1650 (BC_1, *,internal, 0), "& " 1651 (BC_1, *,internal, 0), "& " 1652 (BC_1, *,internal, 0), "& --BSR AY33 IO_AY33. Scan Cell 551 " 1653 (BC_1, *,internal, 0), "& " 1654 (BC_1, *,internal, 0), "& " 1655 (BC_1, *,internal, 0), "& --BSR AW34 IO_AW34. Scan Cell 552 " 1656 (BC_1, *,internal, 0), "& " 1657 (BC_1, *,internal, 0), "& " 1658 (BC_1, *,internal, 0), "& --BSR BA35 IO_BA35. Scan Cell 553 " 1659 (BC_1, *,internal, 0), "& " 1660 (BC_1, *,internal, 0), "& " 1661 (BC_1, *,internal, 0), "& --BSR AY35 IO_AY35. Scan Cell 554 " 1662 (BC_1, *,internal, 0), "& " 1663 (BC_1, *,internal, 0), "& " 1664 (BC_1, *,internal, 0), "& --BSR AW36 IO_AW36. Scan Cell 555 " 1665 (BC_1, *,internal, 0), "& " 1666 (BC_1, *,internal, 0), "& " 1667 (BC_1, *,internal, 0), "& --BSR AU35 IO_AU35. Scan Cell 556 " 1668 (BC_1, *,internal, X), "& " 1669 (BC_1, *,internal, 0), "& " 1670 (BC_1, IO_AU35, input, X), "& --BSR AT35 IO_AT35. Scan Cell 557 " 1671 (BC_1, *,internal, X), "& " 1672 (BC_1, *,internal, 0), "& " 1673 (BC_1, IO_AT35, input, X), "& --BSR BA37 IO_BA37. Scan Cell 558 " 1674 (BC_1, *,internal, 0), "& " 1675 (BC_1, *,internal, 0), "& " 1676 (BC_1, *,internal, 0), "& --BSR AY37 IO_AY37. Scan Cell 559 " 1677 (BC_1, *,internal, 0), "& " 1678 (BC_1, *,internal, 0), "& " 1679 (BC_1, *,internal, 0), "& --BSR AU37 IO_AU37. Scan Cell 560 " 1680 (BC_1, *,internal, 0), "& " 1681 (BC_1, *,internal, 0), "& " 1682 (BC_1, *,internal, 0), "& --BSR AW38 IO_AW38. Scan Cell 561 " 1683 (BC_1, *,internal, 0), "& " 1684 (BC_1, *,internal, 0), "& " 1685 (BC_1, *,internal, 0), "& --BSR AV38 IO_AV38. Scan Cell 562 " 1686 (BC_1, *,internal, 0), "& " 1687 (BC_1, *,internal, 0), "& " 1688 (BC_1, *,internal, 0), "& --BSR AU39 IO_AU39. Scan Cell 563 " 1689 (BC_1, *,internal, 0), "& " 1690 (BC_1, *,internal, 0), "& " 1691 (BC_1, *,internal, 0), "& --BSR AN37 IO_AN37. Scan Cell 564 " 1692 (BC_1, IO_AN37, output3, X, 1693, 0, Z), "& " 1693 (BC_1, *, control, 0), "& " 1694 (BC_1, IO_AN37, input, X), "& --BSR AM37 IO_AM37. Scan Cell 565 " 1695 (BC_1, IO_AM37, output3, X, 1696, 0, Z), "& " 1696 (BC_1, *, control, 0), "& " 1697 (BC_1, IO_AM37, input, X), "& --BSR AG37 IO_AG37. Scan Cell 566 " 1698 (BC_1, IO_AG37, output3, X, 1699, 0, Z), "& " 1699 (BC_1, *, control, 0), "& " 1700 (BC_1, IO_AG37, input, X), "& --BSR AG36 IO_AG36. Scan Cell 567 " 1701 (BC_1, IO_AG36, output3, X, 1702, 0, Z), "& " 1702 (BC_1, *, control, 0), "& " 1703 (BC_1, IO_AG36, input, X), "& --BSR AL38 IO_AL38. Scan Cell 568 " 1704 (BC_1, IO_AL38, output3, X, 1705, 0, Z), "& " 1705 (BC_1, *, control, 0), "& " 1706 (BC_1, IO_AL38, input, X), "& --BSR AL37 IO_AL37. Scan Cell 569 " 1707 (BC_1, IO_AL37, output3, X, 1708, 0, Z), "& " 1708 (BC_1, *, control, 0), "& " 1709 (BC_1, IO_AL37, input, X), "& --BSR AN36 IO_AN36. Scan Cell 570 " 1710 (BC_1, IO_AN36, output3, X, 1711, 0, Z), "& " 1711 (BC_1, *, control, 0), "& " 1712 (BC_1, IO_AN36, input, X), "& --BSR AM36 IO_AM36. Scan Cell 571 " 1713 (BC_1, IO_AM36, output3, X, 1714, 0, Z), "& " 1714 (BC_1, *, control, 0), "& " 1715 (BC_1, IO_AM36, input, X), "& --BSR AJ36 IO_AJ36. Scan Cell 572 " 1716 (BC_1, IO_AJ36, output3, X, 1717, 0, Z), "& " 1717 (BC_1, *, control, 0), "& " 1718 (BC_1, IO_AJ36, input, X), "& --BSR AJ35 IO_AJ35. Scan Cell 573 " 1719 (BC_1, IO_AJ35, output3, X, 1720, 0, Z), "& " 1720 (BC_1, *, control, 0), "& " 1721 (BC_1, IO_AJ35, input, X), "& --BSR AK38 IO_AK38. Scan Cell 574 " 1722 (BC_1, IO_AK38, output3, X, 1723, 0, Z), "& " 1723 (BC_1, *, control, 0), "& " 1724 (BC_1, IO_AK38, input, X), "& --BSR AJ38 IO_AJ38. Scan Cell 575 " 1725 (BC_1, IO_AJ38, output3, X, 1726, 0, Z), "& " 1726 (BC_1, *, control, 0), "& " 1727 (BC_1, IO_AJ38, input, X), "& --BSR AK37 IO_AK37. Scan Cell 576 " 1728 (BC_1, IO_AK37, output3, X, 1729, 0, Z), "& " 1729 (BC_1, *, control, 0), "& " 1730 (BC_1, IO_AK37, input, X), "& --BSR AK36 IO_AK36. Scan Cell 577 " 1731 (BC_1, IO_AK36, output3, X, 1732, 0, Z), "& " 1732 (BC_1, *, control, 0), "& " 1733 (BC_1, IO_AK36, input, X), "& --BSR AJ34 IO_AJ34. Scan Cell 578 " 1734 (BC_1, IO_AJ34, output3, X, 1735, 0, Z), "& " 1735 (BC_1, *, control, 0), "& " 1736 (BC_1, IO_AJ34, input, X), "& --BSR AJ33 IO_AJ33. Scan Cell 579 " 1737 (BC_1, IO_AJ33, output3, X, 1738, 0, Z), "& " 1738 (BC_1, *, control, 0), "& " 1739 (BC_1, IO_AJ33, input, X), "& --BSR AL33 IO_AL33. Scan Cell 580 " 1740 (BC_1, IO_AL33, output3, X, 1741, 0, Z), "& " 1741 (BC_1, *, control, 0), "& " 1742 (BC_1, IO_AL33, input, X), "& --BSR AL34 IO_AL34. Scan Cell 581 " 1743 (BC_1, IO_AL34, output3, X, 1744, 0, Z), "& " 1744 (BC_1, *, control, 0), "& " 1745 (BC_1, IO_AL34, input, X), "& --BSR AM34 IO_AM34. Scan Cell 582 " 1746 (BC_1, IO_AM34, output3, X, 1747, 0, Z), "& " 1747 (BC_1, *, control, 0), "& " 1748 (BC_1, IO_AM34, input, X), "& --BSR AM35 IO_AM35. Scan Cell 583 " 1749 (BC_1, IO_AM35, output3, X, 1750, 0, Z), "& " 1750 (BC_1, *, control, 0), "& " 1751 (BC_1, IO_AM35, input, X), "& --BSR AH31 IO_AH31. Scan Cell 584 " 1752 (BC_1, IO_AH31, output3, X, 1753, 0, Z), "& " 1753 (BC_1, *, control, 0), "& " 1754 (BC_1, IO_AH31, input, X), "& --BSR AJ31 IO_AJ31. Scan Cell 585 " 1755 (BC_1, IO_AJ31, output3, X, 1756, 0, Z), "& " 1756 (BC_1, *, control, 0), "& " 1757 (BC_1, IO_AJ31, input, X), "& --BSR AK32 IO_AK32. Scan Cell 586 " 1758 (BC_1, IO_AK32, output3, X, 1759, 0, Z), "& " 1759 (BC_1, *, control, 0), "& " 1760 (BC_1, IO_AK32, input, X), "& --BSR AK33 IO_AK33. Scan Cell 587 " 1761 (BC_1, IO_AK33, output3, X, 1762, 0, Z), "& " 1762 (BC_1, *, control, 0), "& " 1763 (BC_1, IO_AK33, input, X), "& --BSR AL35 IO_AL35. Scan Cell 588 " 1764 (BC_1, IO_AL35, output3, X, 1765, 0, Z), "& " 1765 (BC_1, *, control, 0), "& " 1766 (BC_1, IO_AL35, input, X), "& --BSR AK35 IO_AK35. Scan Cell 589 " 1767 (BC_1, IO_AK35, output3, X, 1768, 0, Z), "& " 1768 (BC_1, *, control, 0), "& " 1769 (BC_1, IO_AK35, input, X), "& --BSR AH39 IO_AH39. Scan Cell 590 " 1770 (BC_1, IO_AH39, output3, X, 1771, 0, Z), "& " 1771 (BC_1, *, control, 0), "& " 1772 (BC_1, IO_AH39, input, X), "& --BSR AJ39 IO_AJ39. Scan Cell 591 " 1773 (BC_1, IO_AJ39, output3, X, 1774, 0, Z), "& " 1774 (BC_1, *, control, 0), "& " 1775 (BC_1, IO_AJ39, input, X), "& --BSR AL39 IO_AL39. Scan Cell 592 " 1776 (BC_1, IO_AL39, output3, X, 1777, 0, Z), "& " 1777 (BC_1, *, control, 0), "& " 1778 (BC_1, IO_AL39, input, X), "& --BSR AM39 IO_AM39. Scan Cell 593 " 1779 (BC_1, IO_AM39, output3, X, 1780, 0, Z), "& " 1780 (BC_1, *, control, 0), "& " 1781 (BC_1, IO_AM39, input, X), "& --BSR AN38 IO_AN38. Scan Cell 594 " 1782 (BC_1, IO_AN38, output3, X, 1783, 0, Z), "& " 1783 (BC_1, *, control, 0), "& " 1784 (BC_1, IO_AN38, input, X), "& --BSR AN39 IO_AN39. Scan Cell 595 " 1785 (BC_1, IO_AN39, output3, X, 1786, 0, Z), "& " 1786 (BC_1, *, control, 0), "& " 1787 (BC_1, IO_AN39, input, X), "& --BSR AG40 IO_AG40. Scan Cell 596 " 1788 (BC_1, IO_AG40, output3, X, 1789, 0, Z), "& " 1789 (BC_1, *, control, 0), "& " 1790 (BC_1, IO_AG40, input, X), "& --BSR AG39 IO_AG39. Scan Cell 597 " 1791 (BC_1, IO_AG39, output3, X, 1792, 0, Z), "& " 1792 (BC_1, *, control, 0), "& " 1793 (BC_1, IO_AG39, input, X), "& --BSR AK40 IO_AK40. Scan Cell 598 " 1794 (BC_1, IO_AK40, output3, X, 1795, 0, Z), "& " 1795 (BC_1, *, control, 0), "& " 1796 (BC_1, IO_AK40, input, X), "& --BSR AJ40 IO_AJ40. Scan Cell 599 " 1797 (BC_1, IO_AJ40, output3, X, 1798, 0, Z), "& " 1798 (BC_1, *, control, 0), "& " 1799 (BC_1, IO_AJ40, input, X), "& --BSR AN41 IO_AN41. Scan Cell 600 " 1800 (BC_1, IO_AN41, output3, X, 1801, 0, Z), "& " 1801 (BC_1, *, control, 0), "& " 1802 (BC_1, IO_AN41, input, X), "& --BSR AM41 IO_AM41. Scan Cell 601 " 1803 (BC_1, IO_AM41, output3, X, 1804, 0, Z), "& " 1804 (BC_1, *, control, 0), "& " 1805 (BC_1, IO_AM41, input, X), "& --BSR AF40 IO_AF40. Scan Cell 602 " 1806 (BC_1, IO_AF40, output3, X, 1807, 0, Z), "& " 1807 (BC_1, *, control, 0), "& " 1808 (BC_1, IO_AF40, input, X), "& --BSR AF39 IO_AF39. Scan Cell 603 " 1809 (BC_1, IO_AF39, output3, X, 1810, 0, Z), "& " 1810 (BC_1, *, control, 0), "& " 1811 (BC_1, IO_AF39, input, X), "& --BSR AH41 IO_AH41. Scan Cell 604 " 1812 (BC_1, IO_AH41, output3, X, 1813, 0, Z), "& " 1813 (BC_1, *, control, 0), "& " 1814 (BC_1, IO_AH41, input, X), "& --BSR AG41 IO_AG41. Scan Cell 605 " 1815 (BC_1, IO_AG41, output3, X, 1816, 0, Z), "& " 1816 (BC_1, *, control, 0), "& " 1817 (BC_1, IO_AG41, input, X), "& --BSR AM40 IO_AM40. Scan Cell 606 " 1818 (BC_1, IO_AM40, output3, X, 1819, 0, Z), "& " 1819 (BC_1, *, control, 0), "& " 1820 (BC_1, IO_AM40, input, X), "& --BSR AL40 IO_AL40. Scan Cell 607 " 1821 (BC_1, IO_AL40, output3, X, 1822, 0, Z), "& " 1822 (BC_1, *, control, 0), "& " 1823 (BC_1, IO_AL40, input, X), "& --BSR AE40 IO_AE40. Scan Cell 608 " 1824 (BC_1, IO_AE40, output3, X, 1825, 0, Z), "& " 1825 (BC_1, *, control, 0), "& " 1826 (BC_1, IO_AE40, input, X), "& --BSR AD40 IO_AD40. Scan Cell 609 " 1827 (BC_1, IO_AD40, output3, X, 1828, 0, Z), "& " 1828 (BC_1, *, control, 0), "& " 1829 (BC_1, IO_AD40, input, X), "& --BSR AE41 IO_AE41. Scan Cell 610 " 1830 (BC_1, IO_AE41, output3, X, 1831, 0, Z), "& " 1831 (BC_1, *, control, 0), "& " 1832 (BC_1, IO_AE41, input, X), "& --BSR AD41 IO_AD41. Scan Cell 611 " 1833 (BC_1, IO_AD41, output3, X, 1834, 0, Z), "& " 1834 (BC_1, *, control, 0), "& " 1835 (BC_1, IO_AD41, input, X), "& --BSR AK41 IO_AK41. Scan Cell 612 " 1836 (BC_1, IO_AK41, output3, X, 1837, 0, Z), "& " 1837 (BC_1, *, control, 0), "& " 1838 (BC_1, IO_AK41, input, X), "& --BSR AJ41 IO_AJ41. Scan Cell 613 " 1839 (BC_1, IO_AJ41, output3, X, 1840, 0, Z), "& " 1840 (BC_1, *, control, 0), "& " 1841 (BC_1, IO_AJ41, input, X), "& --BSR AD39 IO_AD39. Scan Cell 614 " 1842 (BC_1, IO_AD39, output3, X, 1843, 0, Z), "& " 1843 (BC_1, *, control, 0), "& " 1844 (BC_1, IO_AD39, input, X), "& --BSR AC39 IO_AC39. Scan Cell 615 " 1845 (BC_1, IO_AC39, output3, X, 1846, 0, Z), "& " 1846 (BC_1, *, control, 0), "& " 1847 (BC_1, IO_AC39, input, X), "& --BSR AC41 IO_AC41. Scan Cell 616 " 1848 (BC_1, IO_AC41, output3, X, 1849, 0, Z), "& " 1849 (BC_1, *, control, 0), "& " 1850 (BC_1, IO_AC41, input, X), "& --BSR AB41 IO_AB41. Scan Cell 617 " 1851 (BC_1, IO_AB41, output3, X, 1852, 0, Z), "& " 1852 (BC_1, *, control, 0), "& " 1853 (BC_1, IO_AB41, input, X), "& --BSR AH36 IO_AH36. Scan Cell 618 " 1854 (BC_1, IO_AH36, output3, X, 1855, 0, Z), "& " 1855 (BC_1, *, control, 0), "& " 1856 (BC_1, IO_AH36, input, X), "& --BSR AG35 IO_AG35. Scan Cell 619 " 1857 (BC_1, IO_AG35, output3, X, 1858, 0, Z), "& " 1858 (BC_1, *, control, 0), "& " 1859 (BC_1, IO_AG35, input, X), "& --BSR AF37 IO_AF37. Scan Cell 620 " 1860 (BC_1, IO_AF37, output3, X, 1861, 0, Z), "& " 1861 (BC_1, *, control, 0), "& " 1862 (BC_1, IO_AF37, input, X), "& --BSR AE37 IO_AE37. Scan Cell 621 " 1863 (BC_1, IO_AE37, output3, X, 1864, 0, Z), "& " 1864 (BC_1, *, control, 0), "& " 1865 (BC_1, IO_AE37, input, X), "& --BSR AF38 IO_AF38. Scan Cell 622 " 1866 (BC_1, IO_AF38, output3, X, 1867, 0, Z), "& " 1867 (BC_1, *, control, 0), "& " 1868 (BC_1, IO_AF38, input, X), "& --BSR AE38 IO_AE38. Scan Cell 623 " 1869 (BC_1, IO_AE38, output3, X, 1870, 0, Z), "& " 1870 (BC_1, *, control, 0), "& " 1871 (BC_1, IO_AE38, input, X), "& --BSR AH37 IO_AH37. Scan Cell 624 " 1872 (BC_1, IO_AH37, output3, X, 1873, 0, Z), "& " 1873 (BC_1, *, control, 0), "& " 1874 (BC_1, IO_AH37, input, X), "& --BSR AH38 IO_AH38. Scan Cell 625 " 1875 (BC_1, IO_AH38, output3, X, 1876, 0, Z), "& " 1876 (BC_1, *, control, 0), "& " 1877 (BC_1, IO_AH38, input, X), "& --BSR AE36 IO_AE36. Scan Cell 626 " 1878 (BC_1, IO_AE36, output3, X, 1879, 0, Z), "& " 1879 (BC_1, *, control, 0), "& " 1880 (BC_1, IO_AE36, input, X), "& --BSR AD36 IO_AD36. Scan Cell 627 " 1881 (BC_1, IO_AD36, output3, X, 1882, 0, Z), "& " 1882 (BC_1, *, control, 0), "& " 1883 (BC_1, IO_AD36, input, X), "& --BSR AD38 IO_AD38. Scan Cell 628 " 1884 (BC_1, IO_AD38, output3, X, 1885, 0, Z), "& " 1885 (BC_1, *, control, 0), "& " 1886 (BC_1, IO_AD38, input, X), "& --BSR AC38 IO_AC38. Scan Cell 629 " 1887 (BC_1, IO_AC38, output3, X, 1888, 0, Z), "& " 1888 (BC_1, *, control, 0), "& " 1889 (BC_1, IO_AC38, input, X), "& --BSR AC37 IO_AC37. Scan Cell 630 " 1890 (BC_1, IO_AC37, output3, X, 1891, 0, Z), "& " 1891 (BC_1, *, control, 0), "& " 1892 (BC_1, IO_AC37, input, X), "& --BSR AC36 IO_AC36. Scan Cell 631 " 1893 (BC_1, IO_AC36, output3, X, 1894, 0, Z), "& " 1894 (BC_1, *, control, 0), "& " 1895 (BC_1, IO_AC36, input, X), "& --BSR AG31 IO_AG31. Scan Cell 632 " 1896 (BC_1, IO_AG31, output3, X, 1897, 0, Z), "& " 1897 (BC_1, *, control, 0), "& " 1898 (BC_1, IO_AG31, input, X), "& --BSR AG32 IO_AG32. Scan Cell 633 " 1899 (BC_1, IO_AG32, output3, X, 1900, 0, Z), "& " 1900 (BC_1, *, control, 0), "& " 1901 (BC_1, IO_AG32, input, X), "& --BSR AH32 IO_AH32. Scan Cell 634 " 1902 (BC_1, IO_AH32, output3, X, 1903, 0, Z), "& " 1903 (BC_1, *, control, 0), "& " 1904 (BC_1, IO_AH32, input, X), "& --BSR AH33 IO_AH33. Scan Cell 635 " 1905 (BC_1, IO_AH33, output3, X, 1906, 0, Z), "& " 1906 (BC_1, *, control, 0), "& " 1907 (BC_1, IO_AH33, input, X), "& --BSR AF32 IO_AF32. Scan Cell 636 " 1908 (BC_1, IO_AF32, output3, X, 1909, 0, Z), "& " 1909 (BC_1, *, control, 0), "& " 1910 (BC_1, IO_AF32, input, X), "& --BSR AF33 IO_AF33. Scan Cell 637 " 1911 (BC_1, IO_AF33, output3, X, 1912, 0, Z), "& " 1912 (BC_1, *, control, 0), "& " 1913 (BC_1, IO_AF33, input, X), "& --BSR AE32 IO_AE32. Scan Cell 638 " 1914 (BC_1, IO_AE32, output3, X, 1915, 0, Z), "& " 1915 (BC_1, *, control, 0), "& " 1916 (BC_1, IO_AE32, input, X), "& --BSR AE31 IO_AE31. Scan Cell 639 " 1917 (BC_1, IO_AE31, output3, X, 1918, 0, Z), "& " 1918 (BC_1, *, control, 0), "& " 1919 (BC_1, IO_AE31, input, X), "& --BSR AD34 IO_AD34. Scan Cell 640 " 1920 (BC_1, IO_AD34, output3, X, 1921, 0, Z), "& " 1921 (BC_1, *, control, 0), "& " 1922 (BC_1, IO_AD34, input, X), "& --BSR AC34 IO_AC34. Scan Cell 641 " 1923 (BC_1, IO_AC34, output3, X, 1924, 0, Z), "& " 1924 (BC_1, *, control, 0), "& " 1925 (BC_1, IO_AC34, input, X), "& --BSR AF34 IO_AF34. Scan Cell 642 " 1926 (BC_1, IO_AF34, output3, X, 1927, 0, Z), "& " 1927 (BC_1, *, control, 0), "& " 1928 (BC_1, IO_AF34, input, X), "& --BSR AF35 IO_AF35. Scan Cell 643 " 1929 (BC_1, IO_AF35, output3, X, 1930, 0, Z), "& " 1930 (BC_1, *, control, 0), "& " 1931 (BC_1, IO_AF35, input, X), "& --BSR AH34 IO_AH34. Scan Cell 644 " 1932 (BC_1, IO_AH34, output3, X, 1933, 0, Z), "& " 1933 (BC_1, *, control, 0), "& " 1934 (BC_1, IO_AH34, input, X), "& --BSR AG34 IO_AG34. Scan Cell 645 " 1935 (BC_1, IO_AG34, output3, X, 1936, 0, Z), "& " 1936 (BC_1, *, control, 0), "& " 1937 (BC_1, IO_AG34, input, X), "& --BSR AE33 IO_AE33. Scan Cell 646 " 1938 (BC_1, IO_AE33, output3, X, 1939, 0, Z), "& " 1939 (BC_1, *, control, 0), "& " 1940 (BC_1, IO_AE33, input, X), "& --BSR AD33 IO_AD33. Scan Cell 647 " 1941 (BC_1, IO_AD33, output3, X, 1942, 0, Z), "& " 1942 (BC_1, *, control, 0), "& " 1943 (BC_1, IO_AD33, input, X), "& --BSR AE35 IO_AE35. Scan Cell 648 " 1944 (BC_1, IO_AE35, output3, X, 1945, 0, Z), "& " 1945 (BC_1, *, control, 0), "& " 1946 (BC_1, IO_AE35, input, X), "& --BSR AD35 IO_AD35. Scan Cell 649 " 1947 (BC_1, IO_AD35, output3, X, 1948, 0, Z), "& " 1948 (BC_1, *, control, 0), "& " 1949 (BC_1, IO_AD35, input, X), "& --BSR AC31 IO_AC31. Scan Cell 650 " 1950 (BC_1, IO_AC31, output3, X, 1951, 0, Z), "& " 1951 (BC_1, *, control, 0), "& " 1952 (BC_1, IO_AC31, input, X), "& --BSR AD31 IO_AD31. Scan Cell 651 " 1953 (BC_1, IO_AD31, output3, X, 1954, 0, Z), "& " 1954 (BC_1, *, control, 0), "& " 1955 (BC_1, IO_AD31, input, X), "& --BSR AC32 IO_AC32. Scan Cell 652 " 1956 (BC_1, IO_AC32, output3, X, 1957, 0, Z), "& " 1957 (BC_1, *, control, 0), "& " 1958 (BC_1, IO_AC32, input, X), "& --BSR AC33 IO_AC33. Scan Cell 653 " 1959 (BC_1, IO_AC33, output3, X, 1960, 0, Z), "& " 1960 (BC_1, *, control, 0), "& " 1961 (BC_1, IO_AC33, input, X), "& --BSR AB40 IO_AB40. Scan Cell 654 " 1962 (BC_1, IO_AB40, output3, X, 1963, 0, Z), "& " 1963 (BC_1, *, control, 0), "& " 1964 (BC_1, IO_AB40, input, X), "& --BSR AA40 IO_AA40. Scan Cell 655 " 1965 (BC_1, IO_AA40, output3, X, 1966, 0, Z), "& " 1966 (BC_1, *, control, 0), "& " 1967 (BC_1, IO_AA40, input, X), "& --BSR AB36 IO_AB36. Scan Cell 656 " 1968 (BC_1, IO_AB36, output3, X, 1969, 0, Z), "& " 1969 (BC_1, *, control, 0), "& " 1970 (BC_1, IO_AB36, input, X), "& --BSR AB37 IO_AB37. Scan Cell 657 " 1971 (BC_1, IO_AB37, output3, X, 1972, 0, Z), "& " 1972 (BC_1, *, control, 0), "& " 1973 (BC_1, IO_AB37, input, X), "& --BSR AB39 IO_AB39. Scan Cell 658 " 1974 (BC_1, IO_AB39, output3, X, 1975, 0, Z), "& " 1975 (BC_1, *, control, 0), "& " 1976 (BC_1, IO_AB39, input, X), "& --BSR AA39 IO_AA39. Scan Cell 659 " 1977 (BC_1, IO_AA39, output3, X, 1978, 0, Z), "& " 1978 (BC_1, *, control, 0), "& " 1979 (BC_1, IO_AA39, input, X), "& --BSR AA37 IO_AA37. Scan Cell 660 " 1980 (BC_1, IO_AA37, output3, X, 1981, 0, Z), "& " 1981 (BC_1, *, control, 0), "& " 1982 (BC_1, IO_AA37, input, X), "& --BSR Y37 IO_Y37. Scan Cell 661 " 1983 (BC_1, IO_Y37, output3, X, 1984, 0, Z), "& " 1984 (BC_1, *, control, 0), "& " 1985 (BC_1, IO_Y37, input, X), "& --BSR AA38 IO_AA38. Scan Cell 662 " 1986 (BC_1, IO_AA38, output3, X, 1987, 0, Z), "& " 1987 (BC_1, *, control, 0), "& " 1988 (BC_1, IO_AA38, input, X), "& --BSR Y38 IO_Y38. Scan Cell 663 " 1989 (BC_1, IO_Y38, output3, X, 1990, 0, Z), "& " 1990 (BC_1, *, control, 0), "& " 1991 (BC_1, IO_Y38, input, X), "& --BSR Y41 IO_Y41. Scan Cell 664 " 1992 (BC_1, IO_Y41, output3, X, 1993, 0, Z), "& " 1993 (BC_1, *, control, 0), "& " 1994 (BC_1, IO_Y41, input, X), "& --BSR Y40 IO_Y40. Scan Cell 665 " 1995 (BC_1, IO_Y40, output3, X, 1996, 0, Z), "& " 1996 (BC_1, *, control, 0), "& " 1997 (BC_1, IO_Y40, input, X), "& --BSR Y36 IO_Y36. Scan Cell 666 " 1998 (BC_1, IO_Y36, output3, X, 1999, 0, Z), "& " 1999 (BC_1, *, control, 0), "& " 2000 (BC_1, IO_Y36, input, X), "& --BSR W36 IO_W36. Scan Cell 667 " 2001 (BC_1, IO_W36, output3, X, 2002, 0, Z), "& " 2002 (BC_1, *, control, 0), "& " 2003 (BC_1, IO_W36, input, X), "& --BSR AA30 IO_AA30. Scan Cell 668 " 2004 (BC_1, IO_AA30, output3, X, 2005, 0, Z), "& " 2005 (BC_1, *, control, 0), "& " 2006 (BC_1, IO_AA30, input, X), "& --BSR AB30 IO_AB30. Scan Cell 669 " 2007 (BC_1, IO_AB30, output3, X, 2008, 0, Z), "& " 2008 (BC_1, *, control, 0), "& " 2009 (BC_1, IO_AB30, input, X), "& --BSR W39 IO_W39. Scan Cell 670 " 2010 (BC_1, IO_W39, output3, X, 2011, 0, Z), "& " 2011 (BC_1, *, control, 0), "& " 2012 (BC_1, IO_W39, input, X), "& --BSR V39 IO_V39. Scan Cell 671 " 2013 (BC_1, IO_V39, output3, X, 2014, 0, Z), "& " 2014 (BC_1, *, control, 0), "& " 2015 (BC_1, IO_V39, input, X), "& --BSR W41 IO_W41. Scan Cell 672 " 2016 (BC_1, IO_W41, output3, X, 2017, 0, Z), "& " 2017 (BC_1, *, control, 0), "& " 2018 (BC_1, IO_W41, input, X), "& --BSR W40 IO_W40. Scan Cell 673 " 2019 (BC_1, IO_W40, output3, X, 2020, 0, Z), "& " 2020 (BC_1, *, control, 0), "& " 2021 (BC_1, IO_W40, input, X), "& --BSR AB32 IO_AB32. Scan Cell 674 " 2022 (BC_1, IO_AB32, output3, X, 2023, 0, Z), "& " 2023 (BC_1, *, control, 0), "& " 2024 (BC_1, IO_AB32, input, X), "& --BSR AB31 IO_AB31. Scan Cell 675 " 2025 (BC_1, IO_AB31, output3, X, 2026, 0, Z), "& " 2026 (BC_1, *, control, 0), "& " 2027 (BC_1, IO_AB31, input, X), "& --BSR W38 IO_W38. Scan Cell 676 " 2028 (BC_1, IO_W38, output3, X, 2029, 0, Z), "& " 2029 (BC_1, *, control, 0), "& " 2030 (BC_1, IO_W38, input, X), "& --BSR V38 IO_V38. Scan Cell 677 " 2031 (BC_1, IO_V38, output3, X, 2032, 0, Z), "& " 2032 (BC_1, *, control, 0), "& " 2033 (BC_1, IO_V38, input, X), "& --BSR AB35 IO_AB35. Scan Cell 678 " 2034 (BC_1, IO_AB35, output3, X, 2035, 0, Z), "& " 2035 (BC_1, *, control, 0), "& " 2036 (BC_1, IO_AB35, input, X), "& --BSR AA35 IO_AA35. Scan Cell 679 " 2037 (BC_1, IO_AA35, output3, X, 2038, 0, Z), "& " 2038 (BC_1, *, control, 0), "& " 2039 (BC_1, IO_AA35, input, X), "& --BSR Y35 IO_Y35. Scan Cell 680 " 2040 (BC_1, IO_Y35, output3, X, 2041, 0, Z), "& " 2041 (BC_1, *, control, 0), "& " 2042 (BC_1, IO_Y35, input, X), "& --BSR W35 IO_W35. Scan Cell 681 " 2043 (BC_1, IO_W35, output3, X, 2044, 0, Z), "& " 2044 (BC_1, *, control, 0), "& " 2045 (BC_1, IO_W35, input, X), "& --BSR V41 IO_V41. Scan Cell 682 " 2046 (BC_1, IO_V41, output3, X, 2047, 0, Z), "& " 2047 (BC_1, *, control, 0), "& " 2048 (BC_1, IO_V41, input, X), "& --BSR U41 IO_U41. Scan Cell 683 " 2049 (BC_1, IO_U41, output3, X, 2050, 0, Z), "& " 2050 (BC_1, *, control, 0), "& " 2051 (BC_1, IO_U41, input, X), "& --BSR U40 IO_U40. Scan Cell 684 " 2052 (BC_1, IO_U40, output3, X, 2053, 0, Z), "& " 2053 (BC_1, *, control, 0), "& " 2054 (BC_1, IO_U40, input, X), "& --BSR T40 IO_T40. Scan Cell 685 " 2055 (BC_1, IO_T40, output3, X, 2056, 0, Z), "& " 2056 (BC_1, *, control, 0), "& " 2057 (BC_1, IO_T40, input, X), "& --BSR AB34 IO_AB34. Scan Cell 686 " 2058 (BC_1, IO_AB34, output3, X, 2059, 0, Z), "& " 2059 (BC_1, *, control, 0), "& " 2060 (BC_1, IO_AB34, input, X), "& --BSR AA34 IO_AA34. Scan Cell 687 " 2061 (BC_1, IO_AA34, output3, X, 2062, 0, Z), "& " 2062 (BC_1, *, control, 0), "& " 2063 (BC_1, IO_AA34, input, X), "& --BSR U39 IO_U39. Scan Cell 688 " 2064 (BC_1, IO_U39, output3, X, 2065, 0, Z), "& " 2065 (BC_1, *, control, 0), "& " 2066 (BC_1, IO_U39, input, X), "& --BSR T39 IO_T39. Scan Cell 689 " 2067 (BC_1, IO_T39, output3, X, 2068, 0, Z), "& " 2068 (BC_1, *, control, 0), "& " 2069 (BC_1, IO_T39, input, X), "& --BSR AA33 IO_AA33. Scan Cell 690 " 2070 (BC_1, IO_AA33, output3, X, 2071, 0, Z), "& " 2071 (BC_1, *, control, 0), "& " 2072 (BC_1, IO_AA33, input, X), "& --BSR AA32 IO_AA32. Scan Cell 691 " 2073 (BC_1, IO_AA32, output3, X, 2074, 0, Z), "& " 2074 (BC_1, *, control, 0), "& " 2075 (BC_1, IO_AA32, input, X), "& --BSR W31 IO_W31. Scan Cell 692 " 2076 (BC_1, IO_W31, output3, X, 2077, 0, Z), "& " 2077 (BC_1, *, control, 0), "& " 2078 (BC_1, IO_W31, input, X), "& --BSR Y31 IO_Y31. Scan Cell 693 " 2079 (BC_1, IO_Y31, output3, X, 2080, 0, Z), "& " 2080 (BC_1, *, control, 0), "& " 2081 (BC_1, IO_Y31, input, X), "& --BSR R41 IO_R41. Scan Cell 694 " 2082 (BC_1, IO_R41, output3, X, 2083, 0, Z), "& " 2083 (BC_1, *, control, 0), "& " 2084 (BC_1, IO_R41, input, X), "& --BSR R40 IO_R40. Scan Cell 695 " 2085 (BC_1, IO_R40, output3, X, 2086, 0, Z), "& " 2086 (BC_1, *, control, 0), "& " 2087 (BC_1, IO_R40, input, X), "& --BSR V37 IO_V37. Scan Cell 696 " 2088 (BC_1, IO_V37, output3, X, 2089, 0, Z), "& " 2089 (BC_1, *, control, 0), "& " 2090 (BC_1, IO_V37, input, X), "& --BSR U37 IO_U37. Scan Cell 697 " 2091 (BC_1, IO_U37, output3, X, 2092, 0, Z), "& " 2092 (BC_1, *, control, 0), "& " 2093 (BC_1, IO_U37, input, X), "& --BSR V36 IO_V36. Scan Cell 698 " 2094 (BC_1, IO_V36, output3, X, 2095, 0, Z), "& " 2095 (BC_1, *, control, 0), "& " 2096 (BC_1, IO_V36, input, X), "& --BSR U36 IO_U36. Scan Cell 699 " 2097 (BC_1, IO_U36, output3, X, 2098, 0, Z), "& " 2098 (BC_1, *, control, 0), "& " 2099 (BC_1, IO_U36, input, X), "& --BSR Y32 IO_Y32. Scan Cell 700 " 2100 (BC_1, IO_Y32, output3, X, 2101, 0, Z), "& " 2101 (BC_1, *, control, 0), "& " 2102 (BC_1, IO_Y32, input, X), "& --BSR Y33 IO_Y33. Scan Cell 701 " 2103 (BC_1, IO_Y33, output3, X, 2104, 0, Z), "& " 2104 (BC_1, *, control, 0), "& " 2105 (BC_1, IO_Y33, input, X), "& --BSR P41 IO_P41. Scan Cell 702 " 2106 (BC_1, IO_P41, output3, X, 2107, 0, Z), "& " 2107 (BC_1, *, control, 0), "& " 2108 (BC_1, IO_P41, input, X), "& --BSR N41 IO_N41. Scan Cell 703 " 2109 (BC_1, IO_N41, output3, X, 2110, 0, Z), "& " 2110 (BC_1, *, control, 0), "& " 2111 (BC_1, IO_N41, input, X), "& --BSR T35 IO_T35. Scan Cell 704 " 2112 (BC_1, IO_T35, output3, X, 2113, 0, Z), "& " 2113 (BC_1, *, control, 0), "& " 2114 (BC_1, IO_T35, input, X), "& --BSR R35 IO_R35. Scan Cell 705 " 2115 (BC_1, IO_R35, output3, X, 2116, 0, Z), "& " 2116 (BC_1, *, control, 0), "& " 2117 (BC_1, IO_R35, input, X), "& --BSR N39 IO_N39. Scan Cell 706 " 2118 (BC_1, IO_N39, output3, X, 2119, 0, Z), "& " 2119 (BC_1, *, control, 0), "& " 2120 (BC_1, IO_N39, input, X), "& --BSR N38 IO_N38. Scan Cell 707 " 2121 (BC_1, IO_N38, output3, X, 2122, 0, Z), "& " 2122 (BC_1, *, control, 0), "& " 2123 (BC_1, IO_N38, input, X), "& --BSR P40 IO_P40. Scan Cell 708 " 2124 (BC_1, IO_P40, output3, X, 2125, 0, Z), "& " 2125 (BC_1, *, control, 0), "& " 2126 (BC_1, IO_P40, input, X), "& --BSR P39 IO_P39. Scan Cell 709 " 2127 (BC_1, IO_P39, output3, X, 2128, 0, Z), "& " 2128 (BC_1, *, control, 0), "& " 2129 (BC_1, IO_P39, input, X), "& --BSR W30 IO_W30. Scan Cell 710 " 2130 (BC_1, IO_W30, output3, X, 2131, 0, Z), "& " 2131 (BC_1, *, control, 0), "& " 2132 (BC_1, IO_W30, input, X), "& --BSR V30 IO_V30. Scan Cell 711 " 2133 (BC_1, IO_V30, output3, X, 2134, 0, Z), "& " 2134 (BC_1, *, control, 0), "& " 2135 (BC_1, IO_V30, input, X), "& --BSR W33 IO_W33. Scan Cell 712 " 2136 (BC_1, IO_W33, output3, X, 2137, 0, Z), "& " 2137 (BC_1, *, control, 0), "& " 2138 (BC_1, IO_W33, input, X), "& --BSR W34 IO_W34. Scan Cell 713 " 2139 (BC_1, IO_W34, output3, X, 2140, 0, Z), "& " 2140 (BC_1, *, control, 0), "& " 2141 (BC_1, IO_W34, input, X), "& --BSR T38 IO_T38. Scan Cell 714 " 2142 (BC_1, IO_T38, output3, X, 2143, 0, Z), "& " 2143 (BC_1, *, control, 0), "& " 2144 (BC_1, IO_T38, input, X), "& --BSR T37 IO_T37. Scan Cell 715 " 2145 (BC_1, IO_T37, output3, X, 2146, 0, Z), "& " 2146 (BC_1, *, control, 0), "& " 2147 (BC_1, IO_T37, input, X), "& --BSR V32 IO_V32. Scan Cell 716 " 2148 (BC_1, IO_V32, output3, X, 2149, 0, Z), "& " 2149 (BC_1, *, control, 0), "& " 2150 (BC_1, IO_V32, input, X), "& --BSR V31 IO_V31. Scan Cell 717 " 2151 (BC_1, IO_V31, output3, X, 2152, 0, Z), "& " 2152 (BC_1, *, control, 0), "& " 2153 (BC_1, IO_V31, input, X), "& --BSR M41 IO_M41. Scan Cell 718 " 2154 (BC_1, IO_M41, output3, X, 2155, 0, Z), "& " 2155 (BC_1, *, control, 0), "& " 2156 (BC_1, IO_M41, input, X), "& --BSR M40 IO_M40. Scan Cell 719 " 2157 (BC_1, IO_M40, output3, X, 2158, 0, Z), "& " 2158 (BC_1, *, control, 0), "& " 2159 (BC_1, IO_M40, input, X), "& --BSR V33 IO_V33. Scan Cell 720 " 2160 (BC_1, IO_V33, output3, X, 2161, 0, Z), "& " 2161 (BC_1, *, control, 0), "& " 2162 (BC_1, IO_V33, input, X), "& --BSR V34 IO_V34. Scan Cell 721 " 2163 (BC_1, IO_V34, output3, X, 2164, 0, Z), "& " 2164 (BC_1, *, control, 0), "& " 2165 (BC_1, IO_V34, input, X), "& --BSR U35 IO_U35. Scan Cell 722 " 2166 (BC_1, IO_U35, output3, X, 2167, 0, Z), "& " 2167 (BC_1, *, control, 0), "& " 2168 (BC_1, IO_U35, input, X), "& --BSR U34 IO_U34. Scan Cell 723 " 2169 (BC_1, IO_U34, output3, X, 2170, 0, Z), "& " 2170 (BC_1, *, control, 0), "& " 2171 (BC_1, IO_U34, input, X), "& --BSR R38 IO_R38. Scan Cell 724 " 2172 (BC_1, IO_R38, output3, X, 2173, 0, Z), "& " 2173 (BC_1, *, control, 0), "& " 2174 (BC_1, IO_R38, input, X), "& --BSR P38 IO_P38. Scan Cell 725 " 2175 (BC_1, IO_P38, output3, X, 2176, 0, Z), "& " 2176 (BC_1, *, control, 0), "& " 2177 (BC_1, IO_P38, input, X), "& --BSR L40 IO_L40. Scan Cell 726 " 2178 (BC_1, IO_L40, output3, X, 2179, 0, Z), "& " 2179 (BC_1, *, control, 0), "& " 2180 (BC_1, IO_L40, input, X), "& --BSR M39 IO_M39. Scan Cell 727 " 2181 (BC_1, IO_M39, output3, X, 2182, 0, Z), "& " 2182 (BC_1, *, control, 0), "& " 2183 (BC_1, IO_M39, input, X), "& --BSR T34 IO_T34. Scan Cell 728 " 2184 (BC_1, IO_T34, output3, X, 2185, 0, Z), "& " 2185 (BC_1, *, control, 0), "& " 2186 (BC_1, IO_T34, input, X), "& --BSR T33 IO_T33. Scan Cell 729 " 2187 (BC_1, IO_T33, output3, X, 2188, 0, Z), "& " 2188 (BC_1, *, control, 0), "& " 2189 (BC_1, IO_T33, input, X), "& --BSR R37 IO_R37. Scan Cell 730 " 2190 (BC_1, IO_R37, output3, X, 2191, 0, Z), "& " 2191 (BC_1, *, control, 0), "& " 2192 (BC_1, IO_R37, input, X), "& --BSR R36 IO_R36. Scan Cell 731 " 2193 (BC_1, IO_R36, output3, X, 2194, 0, Z), "& " 2194 (BC_1, *, control, 0), "& " 2195 (BC_1, IO_R36, input, X), "& --BSR K41 IO_K41. Scan Cell 732 " 2196 (BC_1, IO_K41, output3, X, 2197, 0, Z), "& " 2197 (BC_1, *, control, 0), "& " 2198 (BC_1, IO_K41, input, X), "& --BSR K40 IO_K40. Scan Cell 733 " 2199 (BC_1, IO_K40, output3, X, 2200, 0, Z), "& " 2200 (BC_1, *, control, 0), "& " 2201 (BC_1, IO_K40, input, X), "& --BSR P36 IO_P36. Scan Cell 734 " 2202 (BC_1, IO_P36, output3, X, 2203, 0, Z), "& " 2203 (BC_1, *, control, 0), "& " 2204 (BC_1, IO_P36, input, X), "& --BSR P35 IO_P35. Scan Cell 735 " 2205 (BC_1, IO_P35, output3, X, 2206, 0, Z), "& " 2206 (BC_1, *, control, 0), "& " 2207 (BC_1, IO_P35, input, X), "& --BSR N37 IO_N37. Scan Cell 736 " 2208 (BC_1, IO_N37, output3, X, 2209, 0, Z), "& " 2209 (BC_1, *, control, 0), "& " 2210 (BC_1, IO_N37, input, X), "& --BSR N36 IO_N36. Scan Cell 737 " 2211 (BC_1, IO_N36, output3, X, 2212, 0, Z), "& " 2212 (BC_1, *, control, 0), "& " 2213 (BC_1, IO_N36, input, X), "& --BSR M34 IO_M34. Scan Cell 738 " 2214 (BC_1, IO_M34, output3, X, 2215, 0, Z), "& " 2215 (BC_1, *, control, 0), "& " 2216 (BC_1, IO_M34, input, X), "& --BSR L34 IO_L34. Scan Cell 739 " 2217 (BC_1, IO_L34, output3, X, 2218, 0, Z), "& " 2218 (BC_1, *, control, 0), "& " 2219 (BC_1, IO_L34, input, X), "& --BSR U31 IO_U31. Scan Cell 740 " 2220 (BC_1, IO_U31, output3, X, 2221, 0, Z), "& " 2221 (BC_1, *, control, 0), "& " 2222 (BC_1, IO_U31, input, X), "& --BSR U30 IO_U30. Scan Cell 741 " 2223 (BC_1, IO_U30, output3, X, 2224, 0, Z), "& " 2224 (BC_1, *, control, 0), "& " 2225 (BC_1, IO_U30, input, X), "& --BSR L39 IO_L39. Scan Cell 742 " 2226 (BC_1, IO_L39, output3, X, 2227, 0, Z), "& " 2227 (BC_1, *, control, 0), "& " 2228 (BC_1, IO_L39, input, X), "& --BSR L38 IO_L38. Scan Cell 743 " 2229 (BC_1, IO_L38, output3, X, 2230, 0, Z), "& " 2230 (BC_1, *, control, 0), "& " 2231 (BC_1, IO_L38, input, X), "& --BSR T32 IO_T32. Scan Cell 744 " 2232 (BC_1, IO_T32, output3, X, 2233, 0, Z), "& " 2233 (BC_1, *, control, 0), "& " 2234 (BC_1, IO_T32, input, X), "& --BSR U32 IO_U32. Scan Cell 745 " 2235 (BC_1, IO_U32, output3, X, 2236, 0, Z), "& " 2236 (BC_1, *, control, 0), "& " 2237 (BC_1, IO_U32, input, X), "& --BSR M36 IO_M36. Scan Cell 746 " 2238 (BC_1, IO_M36, output3, X, 2239, 0, Z), "& " 2239 (BC_1, *, control, 0), "& " 2240 (BC_1, IO_M36, input, X), "& --BSR M35 IO_M35. Scan Cell 747 " 2241 (BC_1, IO_M35, output3, X, 2242, 0, Z), "& " 2242 (BC_1, *, control, 0), "& " 2243 (BC_1, IO_M35, input, X), "& --BSR J41 IO_J41. Scan Cell 748 " 2244 (BC_1, IO_J41, output3, X, 2245, 0, Z), "& " 2245 (BC_1, *, control, 0), "& " 2246 (BC_1, IO_J41, input, X), "& --BSR J40 IO_J40. Scan Cell 749 " 2247 (BC_1, IO_J40, output3, X, 2248, 0, Z), "& " 2248 (BC_1, *, control, 0), "& " 2249 (BC_1, IO_J40, input, X), "& --BSR M37 IO_M37. Scan Cell 750 " 2250 (BC_1, IO_M37, output3, X, 2251, 0, Z), "& " 2251 (BC_1, *, control, 0), "& " 2252 (BC_1, IO_M37, input, X), "& --BSR L37 IO_L37. Scan Cell 751 " 2253 (BC_1, IO_L37, output3, X, 2254, 0, Z), "& " 2254 (BC_1, *, control, 0), "& " 2255 (BC_1, IO_L37, input, X), "& --BSR R30 IO_R30. Scan Cell 752 " 2256 (BC_1, IO_R30, output3, X, 2257, 0, Z), "& " 2257 (BC_1, *, control, 0), "& " 2258 (BC_1, IO_R30, input, X), "& --BSR R31 IO_R31. Scan Cell 753 " 2259 (BC_1, IO_R31, output3, X, 2260, 0, Z), "& " 2260 (BC_1, *, control, 0), "& " 2261 (BC_1, IO_R31, input, X), "& --BSR R32 IO_R32. Scan Cell 754 " 2262 (BC_1, IO_R32, output3, X, 2263, 0, Z), "& " 2263 (BC_1, *, control, 0), "& " 2264 (BC_1, IO_R32, input, X), "& --BSR R33 IO_R33. Scan Cell 755 " 2265 (BC_1, IO_R33, output3, X, 2266, 0, Z), "& " 2266 (BC_1, *, control, 0), "& " 2267 (BC_1, IO_R33, input, X), "& --BSR H41 IO_H41. Scan Cell 756 " 2268 (BC_1, IO_H41, output3, X, 2269, 0, Z), "& " 2269 (BC_1, *, control, 0), "& " 2270 (BC_1, IO_H41, input, X), "& --BSR G41 IO_G41. Scan Cell 757 " 2271 (BC_1, IO_G41, output3, X, 2272, 0, Z), "& " 2272 (BC_1, *, control, 0), "& " 2273 (BC_1, IO_G41, input, X), "& --BSR P34 IO_P34. Scan Cell 758 " 2274 (BC_1, IO_P34, output3, X, 2275, 0, Z), "& " 2275 (BC_1, *, control, 0), "& " 2276 (BC_1, IO_P34, input, X), "& --BSR N34 IO_N34. Scan Cell 759 " 2277 (BC_1, IO_N34, output3, X, 2278, 0, Z), "& " 2278 (BC_1, *, control, 0), "& " 2279 (BC_1, IO_N34, input, X), "& --BSR K38 IO_K38. Scan Cell 760 " 2280 (BC_1, IO_K38, output3, X, 2281, 0, Z), "& " 2281 (BC_1, *, control, 0), "& " 2282 (BC_1, IO_K38, input, X), "& --BSR K37 IO_K37. Scan Cell 761 " 2283 (BC_1, IO_K37, output3, X, 2284, 0, Z), "& " 2284 (BC_1, *, control, 0), "& " 2285 (BC_1, IO_K37, input, X), "& --BSR N33 IO_N33. Scan Cell 762 " 2286 (BC_1, IO_N33, output3, X, 2287, 0, Z), "& " 2287 (BC_1, *, control, 0), "& " 2288 (BC_1, IO_N33, input, X), "& --BSR P33 IO_P33. Scan Cell 763 " 2289 (BC_1, IO_P33, output3, X, 2290, 0, Z), "& " 2290 (BC_1, *, control, 0), "& " 2291 (BC_1, IO_P33, input, X), "& --BSR N31 IO_N31. Scan Cell 764 " 2292 (BC_1, IO_N31, output3, X, 2293, 0, Z), "& " 2293 (BC_1, *, control, 0), "& " 2294 (BC_1, IO_N31, input, X), "& --BSR P31 IO_P31. Scan Cell 765 " 2295 (BC_1, IO_P31, output3, X, 2296, 0, Z), "& " 2296 (BC_1, *, control, 0), "& " 2297 (BC_1, IO_P31, input, X), "& --BSR J39 IO_J39. Scan Cell 766 " 2298 (BC_1, IO_J39, output3, X, 2299, 0, Z), "& " 2299 (BC_1, *, control, 0), "& " 2300 (BC_1, IO_J39, input, X), "& --BSR H39 IO_H39. Scan Cell 767 " 2301 (BC_1, IO_H39, output3, X, 2302, 0, Z), "& " 2302 (BC_1, *, control, 0), "& " 2303 (BC_1, IO_H39, input, X), "& --BSR E38 IO_E38. Scan Cell 768 " 2304 (BC_1, IO_E38, output3, X, 2305, 0, Z), "& " 2305 (BC_1, *, control, 0), "& " 2306 (BC_1, IO_E38, input, X), "& --BSR F38 IO_F38. Scan Cell 769 " 2307 (BC_1, IO_F38, output3, X, 2308, 0, Z), "& " 2308 (BC_1, *, control, 0), "& " 2309 (BC_1, IO_F38, input, X), "& --BSR K36 IO_K36. Scan Cell 770 " 2310 (BC_1, IO_K36, output3, X, 2311, 0, Z), "& " 2311 (BC_1, *, control, 0), "& " 2312 (BC_1, IO_K36, input, X), "& --BSR J36 IO_J36. Scan Cell 771 " 2313 (BC_1, IO_J36, output3, X, 2314, 0, Z), "& " 2314 (BC_1, *, control, 0), "& " 2315 (BC_1, IO_J36, input, X), "& --BSR J35 IO_J35. Scan Cell 772 " 2316 (BC_1, IO_J35, output3, X, 2317, 0, Z), "& " 2317 (BC_1, *, control, 0), "& " 2318 (BC_1, IO_J35, input, X), "& --BSR J34 IO_J34. Scan Cell 773 " 2319 (BC_1, IO_J34, output3, X, 2320, 0, Z), "& " 2320 (BC_1, *, control, 0), "& " 2321 (BC_1, IO_J34, input, X), "& --BSR J38 IO_J38. Scan Cell 774 " 2322 (BC_1, IO_J38, output3, X, 2323, 0, Z), "& " 2323 (BC_1, *, control, 0), "& " 2324 (BC_1, IO_J38, input, X), "& --BSR H38 IO_H38. Scan Cell 775 " 2325 (BC_1, IO_H38, output3, X, 2326, 0, Z), "& " 2326 (BC_1, *, control, 0), "& " 2327 (BC_1, IO_H38, input, X), "& --BSR M32 IO_M32. Scan Cell 776 " 2328 (BC_1, IO_M32, output3, X, 2329, 0, Z), "& " 2329 (BC_1, *, control, 0), "& " 2330 (BC_1, IO_M32, input, X), "& --BSR N32 IO_N32. Scan Cell 777 " 2331 (BC_1, IO_N32, output3, X, 2332, 0, Z), "& " 2332 (BC_1, *, control, 0), "& " 2333 (BC_1, IO_N32, input, X), "& --BSR G40 IO_G40. Scan Cell 778 " 2334 (BC_1, IO_G40, output3, X, 2335, 0, Z), "& " 2335 (BC_1, *, control, 0), "& " 2336 (BC_1, IO_G40, input, X), "& --BSR G39 IO_G39. Scan Cell 779 " 2337 (BC_1, IO_G39, output3, X, 2338, 0, Z), "& " 2338 (BC_1, *, control, 0), "& " 2339 (BC_1, IO_G39, input, X), "& --BSR F40 IO_F40. Scan Cell 780 " 2340 (BC_1, IO_F40, output3, X, 2341, 0, Z), "& " 2341 (BC_1, *, control, 0), "& " 2342 (BC_1, IO_F40, input, X), "& --BSR F39 IO_F39. Scan Cell 781 " 2343 (BC_1, IO_F39, output3, X, 2344, 0, Z), "& " 2344 (BC_1, *, control, 0), "& " 2345 (BC_1, IO_F39, input, X), "& --BSR L35 IO_L35. Scan Cell 782 " 2346 (BC_1, IO_L35, output3, X, 2347, 0, Z), "& " 2347 (BC_1, *, control, 0), "& " 2348 (BC_1, IO_L35, input, X), "& --BSR K35 IO_K35. Scan Cell 783 " 2349 (BC_1, IO_K35, output3, X, 2350, 0, Z), "& " 2350 (BC_1, *, control, 0), "& " 2351 (BC_1, IO_K35, input, X), "& --BSR D39 IO_D39. Scan Cell 784 " 2352 (BC_1, IO_D39, output3, X, 2353, 0, Z), "& " 2353 (BC_1, *, control, 0), "& " 2354 (BC_1, IO_D39, input, X), "& --BSR D38 IO_D38. Scan Cell 785 " 2355 (BC_1, IO_D38, output3, X, 2356, 0, Z), "& " 2356 (BC_1, *, control, 0), "& " 2357 (BC_1, IO_D38, input, X), "& --BSR L30 IO_L30. Scan Cell 786 " 2358 (BC_1, IO_L30, output3, X, 2359, 0, Z), "& " 2359 (BC_1, *, control, 0), "& " 2360 (BC_1, IO_L30, input, X), "& --BSR M31 IO_M31. Scan Cell 787 " 2361 (BC_1, IO_M31, output3, X, 2362, 0, Z), "& " 2362 (BC_1, *, control, 0), "& " 2363 (BC_1, IO_M31, input, X), "& --BSR H36 IO_H36. Scan Cell 788 " 2364 (BC_1, IO_H36, output3, X, 2365, 0, Z), "& " 2365 (BC_1, *, control, 0), "& " 2366 (BC_1, IO_H36, input, X), "& --BSR G36 IO_G36. Scan Cell 789 " 2367 (BC_1, IO_G36, output3, X, 2368, 0, Z), "& " 2368 (BC_1, *, control, 0), "& " 2369 (BC_1, IO_G36, input, X), "& --BSR E41 IO_E41. Scan Cell 790 " 2370 (BC_1, IO_E41, output3, X, 2371, 0, Z), "& " 2371 (BC_1, *, control, 0), "& " 2372 (BC_1, IO_E41, input, X), "& --BSR E40 IO_E40. Scan Cell 791 " 2373 (BC_1, IO_E40, output3, X, 2374, 0, Z), "& " 2374 (BC_1, *, control, 0), "& " 2375 (BC_1, IO_E40, input, X), "& --BSR H37 IO_H37. Scan Cell 792 " 2376 (BC_1, IO_H37, output3, X, 2377, 0, Z), "& " 2377 (BC_1, *, control, 0), "& " 2378 (BC_1, IO_H37, input, X), "& --BSR G37 IO_G37. Scan Cell 793 " 2379 (BC_1, IO_G37, output3, X, 2380, 0, Z), "& " 2380 (BC_1, *, control, 0), "& " 2381 (BC_1, IO_G37, input, X), "& --BSR N30 IO_N30. Scan Cell 794 " 2382 (BC_1, IO_N30, output3, X, 2383, 0, Z), "& " 2383 (BC_1, *, control, 0), "& " 2384 (BC_1, IO_N30, input, X), "& --BSR M30 IO_M30. Scan Cell 795 " 2385 (BC_1, IO_M30, output3, X, 2386, 0, Z), "& " 2386 (BC_1, *, control, 0), "& " 2387 (BC_1, IO_M30, input, X), "& --BSR K33 IO_K33. Scan Cell 796 " 2388 (BC_1, IO_K33, output3, X, 2389, 0, Z), "& " 2389 (BC_1, *, control, 0), "& " 2390 (BC_1, IO_K33, input, X), "& --BSR L33 IO_L33. Scan Cell 797 " 2391 (BC_1, IO_L33, output3, X, 2392, 0, Z), "& " 2392 (BC_1, *, control, 0), "& " 2393 (BC_1, IO_L33, input, X), "& --BSR D41 IO_D41. Scan Cell 798 " 2394 (BC_1, IO_D41, output3, X, 2395, 0, Z), "& " 2395 (BC_1, *, control, 0), "& " 2396 (BC_1, IO_D41, input, X), "& --BSR D40 IO_D40. Scan Cell 799 " 2397 (BC_1, IO_D40, output3, X, 2398, 0, Z), "& " 2398 (BC_1, *, control, 0), "& " 2399 (BC_1, IO_D40, input, X), "& --BSR K32 IO_K32. Scan Cell 800 " 2400 (BC_1, IO_K32, output3, X, 2401, 0, Z), "& " 2401 (BC_1, *, control, 0), "& " 2402 (BC_1, IO_K32, input, X), "& --BSR L32 IO_L32. Scan Cell 801 " 2403 (BC_1, IO_L32, output3, X, 2404, 0, Z), "& " 2404 (BC_1, *, control, 0), "& " 2405 (BC_1, IO_L32, input, X), "& --BSR F37 IO_F37. Scan Cell 802 " 2406 (BC_1, IO_F37, output3, X, 2407, 0, Z), "& " 2407 (BC_1, *, control, 0), "& " 2408 (BC_1, IO_F37, input, X), "& --BSR E37 IO_E37. Scan Cell 803 " 2409 (BC_1, IO_E37, output3, X, 2410, 0, Z), "& " 2410 (BC_1, *, control, 0), "& " 2411 (BC_1, IO_E37, input, X) "; end RT4G150Lcg1657;